KR101452122B1 - 변형된 웰 영역을 갖는 finfet - Google Patents

변형된 웰 영역을 갖는 finfet Download PDF

Info

Publication number
KR101452122B1
KR101452122B1 KR1020130090213A KR20130090213A KR101452122B1 KR 101452122 B1 KR101452122 B1 KR 101452122B1 KR 1020130090213 A KR1020130090213 A KR 1020130090213A KR 20130090213 A KR20130090213 A KR 20130090213A KR 101452122 B1 KR101452122 B1 KR 101452122B1
Authority
KR
South Korea
Prior art keywords
semiconductor region
region
semiconductor
silicon
conduction band
Prior art date
Application number
KR1020130090213A
Other languages
English (en)
Other versions
KR20140110690A (ko
Inventor
이 징 리
치 웬 리우
쳉 시엔 우
치 신 코
클레멘트 시젠 완
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20140110690A publication Critical patent/KR20140110690A/ko
Application granted granted Critical
Publication of KR101452122B1 publication Critical patent/KR101452122B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1095Body region, i.e. base region, of DMOS transistors or IGBTs
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/15Structures with periodic or quasi periodic potential variation, e.g. multiple quantum wells, superlattices
    • H01L29/157Doping structures, e.g. doping superlattices, nipi superlattices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66431Unipolar field-effect transistors with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66674DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/66712Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7789Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7801DMOS transistors, i.e. MISFETs with a channel accommodating body or base region adjoining a drain drift region
    • H01L29/7802Vertical DMOS transistors, i.e. VDMOS transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7849Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being provided under the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/432Heterojunction gate for field effect devices

Abstract

디바이스는 기판 및 기판의 일부분 위의 절연 영역들을 포함한다. 제 1 반도체 영역은 절연 영역들 사이에 있고, 제 1 전도대를 갖는다. 제 2 반도체 영역은 제 1 반도체 영역 위에 있고 이에 인접해 있으며, 제 2 반도체 영역은 반도체 핀을 형성하기 위해 절연 영역들의 상부 표면보다 높은 상부 표면을 포함한다. 제 2 반도체 영역은 또한 넓은 부분 및 넓은 부분 위의 협소한 부분을 포함하고, 협소한 부분은 넓은 부분보다 협소하다. 반도체 핀은 인장 응력을 갖고, 제 1 전도대보다 낮은 제 2 전도대를 갖는다. 제 3 반도체 영역은 반도체 핀의 측벽 및 상부 표면 위에 있고 이에 인접해 있으며, 제 3 반도체 영역은 제 2 전도대보다 높은 제 3 전도대를 갖는다.

Description

변형된 웰 영역을 갖는 FINFET{FINFET WITH STRAINED WELL REGIONS}
관련 출원에 대한 상호 참조
본 출원은 다음의 일반적으로 지정된 미국 특허 출원: 2013년 2월 27일자로 출원된, 발명의 명칭 "FinFETs with Strained Well Regions"의 출원 일련 번호 제13/779,015호(대리인 사건 번호 TSM12-1269)에 관한 것이며, 상기 출원은 참조에 의해 여기에 통합된다.
금속 산화물 반도체(metal-oxide-semiconductor; MOS) 트랜지스터의 속도는 MOS 트랜지스터의 구동 전류에 밀접하게 관련되고, MOS 트랜지스터의 채널에서 전하의 이동성에 더욱 밀접하게 관련된다. 예를 들어, NMOS 트랜지스터는 자신의 채널 영역에서 전자(electron) 이동성이 높을 때 높은 구동 전류를 갖지만, PMOS 트랜지스터는 자신의 채널 영역에서 정공(hole) 이동성이 높을 때 높은 구동 전류를 갖는다. 따라서, 마늄, 실리콘 게르마늄, 및 III 족 및 V 족 원소를 포함하는 화합물 반도체 물질(이하에, III-V 화합물 반도체로서 언급됨)이 높은 전자 이동성 및/또는 정공 이동성을 형성할 가능성이 큰 물질이다.
게르마늄, 실리콘 게르마늄, 및 III-V 화합물 반도체 영역은 또한 핀 전계 효과 트랜지스터(Fin Field-Effect transistor; FinFET)의 채널 영역을 형성하는데 유망한 물질이다. FinFET 상의 구동 전류를 더욱 향상시키기 위한 방법 및 구조물이 현재 연구중이다.
본 발명의 목적은 변형된 웰 영역을 갖는 FinFET를 제공하는 것이다.
일부 실시예들에 따라, 디바이스는 기판 및 기판의 일부분 위의 절연 영역들을 포함한다. 제 1 반도체 영역은 절연 영역들 사이에 있고, 제 1 전도대를 갖는다. 제 2 반도체 영역은 제 1 반도체 영역 위에 있고 이에 인접해 있으며, 제 2 반도체 영역은 반도체 핀을 형성하기 위해 절연 영역들의 상부 표면보다 높은 상위 부분을 포함한다. 제 2 반도체 영역은 또한 넓은 부분 및 넓은 부분 위에 협소한 부분을 포함하고, 협소한 부분은 넓은 부분보다 협소하다. 반도체 핀은 인장 응력을 갖고, 제 1 전도대보다 낮은 제 2 전도대를 갖는다. 제 3 반도체 영역은 반도체 핀의 측벽 및 상부 표면 위에 있고 이에 인접해 있으며, 제 3 반도체 영역은 제 2 전도대보다 높은 제 3 전도대를 갖는다.
다른 실시예들에 따라, 디바이스는 실리콘 기판, 및 실리콘 기판의 일부분 위의 STI 영역을 포함한다. 제 1 SiGe 영역은 STI 영역들 사이에 위치하고 이들에 접촉하며, 제 1 SiGe 영역은 제 1 게르마늄 원자 퍼센트를 갖는다. 실리콘 함유 영역이 제 1 SiGe 영역 위에 있다. 실리콘 함유 영역은 인장 응력을 갖는다. 제 2 SiGe 영역은 실리콘 함유 영역의 측벽 및 상부 표면에 접촉한다. 실리콘 함유 영역은 제 1 측벽 및 상부 표면을 갖는 넓은 부분, 및 제 2 측벽을 갖고 넓은 부분 위의 협소한 부분을 갖는다. 제 1 측벽 및 제 2 측벽은 실리콘 함유 영역의 동일측 상에 있다. 넓은 부분의 에지는 제 1 SiGe 영역의 각각의 에지에 수직적으로 정렬된다. 넓은 부분의 상부 표면은 제 1 측벽을 제 2 측벽에 연결한다. 제 2 SiGe 영역은 제 2 게르마늄 원자 퍼센트를 갖는다. 제 1 게르마늄 원자 퍼센트 및 제 2 게르마늄 원자 퍼센트는 실리콘 함유 영역의 제 3 게르마늄 원자 퍼센트보다 높다. 디바이스는 제 2 SiGe 영역 위의 게이트 유전체, 게이트 유전체 위의 게이트 전극, 및 게이트 유전체 및 게이트 전극의 대향측 상의 소스 영역과 드레인 영역을 더 포함한다.
또 다른 실시예들에 따라, 방법은 리세스를 형성하기 위해 2개의 절연 영역들 사이에서 기판의 일부분을 리세스하는 단계, 리세스에 제 1 반도체 영역을 성장시키기 위해 제 1 에피택시를 수행하는 단계, 및 리세스에 제 2 반도체 영역을 성장시키기 위해 제 2 에피택시를 수행하는 단계를 포함한다. 제 1 반도체 영역은 릴렉스된다. 제 2 반도체 영역은 제 1 반도체 영역 위에 있고 이에 접촉한다. 제 2 반도체 영역은 인장 응력을 갖는다. 평탄화가 수행되어 제 2 반도체 영역의 상부 표면과 절연 영역들을 동등하게 한다. 절연 영역들이 리세스되고, 절연 영역들 위의 제 2 반도체 영역의 상부 부분은 반도체 핀을 형성한다. 반도체 핀은 더욱 작을 폭을 갖도록 시닝된다. 제 3 에피택시가 수행되어 반도체 핀의 측벽 및 상부 표면 상에 제 3 반도체 영역을 성장시킨다. 제 2 반도체 영역은 제 1 반도체 영역 및 제 3 반도체 영역의 전도대보다 낮은 전도대를 갖는다.
본 발명에 따르면, 변형된 웰 영역을 갖는 FinFET를 제공하는 것이 가능하다.
본 실시예 및 본 실시예의 장점의 보다 완벽한 이해를 위해, 이제부터 첨부된 도면들을 참조하면서 이하의 상세한 설명에 대해 설명을 한다.
도 1 내지 도 10c는 일부 예시적인 실시예들에 따른 반도체 핀 및 핀 전계 효과 트랜지스터(FinFET)의 제조에 있어서 중간 단계들의 횡단면도이다.
도 11은 FinFET에 있는 복수의 반도체 영역의 밴드 다이어그램을 나타낸다.
이하에서는 본 발명개시의 실시예들의 제조 및 이용을 자세하게 설명한다. 하지만, 실시예들은 폭넓은 다양한 특정 환경에서 구현될 수 있는 수많은 적용 가능한 발명의 개념을 제공한다는 점을 이해해야 한다. 설명하는 특정한 실시예들은 예시적인 것으로, 본 발명개시의 범위를 한정시키려는 것은 아니다.
핀 전계 효과 트랜지스터(FinFET) 및 FinFET를 형성하는 방법이 다양한 실시예에 따라 제공된다. 일부 실시예에 따라 FinFET를 형성하는 중간 단계들이 나타난다. 본 실시예의 변형이 기술된다. 다양한 도면들 및 예시적인 실시예에 걸쳐서, 동일한 참조 번호는 동일한 요소를 나타내는데 이용된다.
도 1을 참조하면, 기판(20)이 제공된다. 기판(20)은 결정질 실리콘 기판과 같은 반도체 기판일 수 있다. 기판(20)은 또한 실리콘, 게르마늄, 카본 등을 포함할 수 있다. 쉘로우 트렌치 분리(shallow trench isolation; STI) 영역(22)과 같은 분리 영역이 기판(20)에 형성될 수 있다. STI 영역(22)은 트렌치를 형성하기 위해 반도체 기판(20)을 리세스하고, 그리고 나서 실리콘 산화물과 같은 유전체로 트렌치를 충진함으로써 형성될 수 있다. 그리고 나서, 화학적 기계적 연마(chemical mechanical polish; CMP) 공정이 수행되어 유전체의 과도한 부분을 제거하고, 잔여 부분이 STI 영역(22)이 된다. STI 영역(22)의 상부 표면은 기판(20)의 상부 표면과 동등하다.
STI 영역(22)은 서로 마주보는 측벽을 갖는 인접 STI 영역을 포함한다. 기판(20)의 부분(20')은 인접 STI 영역들 사이로 연장된다. 기판 부분(20')의 폭(W1)은 대략 10 nm와 대략 200 nm 사이일 수 있다. 본 명세서에 걸쳐서 열거된 치수들은 단지 예시일 뿐, 상이한 값으로 변경될 수 있음을 이해한다. 인접 STI 영역은 분리된 영역일 수 있거나, 또는 일부 실시예들에서 STI 고리를 형성할 수 있는 연속적인 영역의 일부분일 수 있다.
도 2를 참조하면, 기판의 부분(20')은 리세스되어, STI 영역(22) 사이에 리세스(24)를 형성한다. 일부 실시예들에서, 리세스(24)의 하부는 STI 영역(22)의 하부 표면보다 높다. 대안적인 실시예들에서, 리세스(24)의 하부는 실질적으로 STI 영역(22)의 하부보다 낮거나 동등하다.
도 3을 참조하면, 반도체 영역(26)이 에피택시를 통해 리세스(24)에 성장된다. 반도체 영역의 상부 표면은 STI 영역(22)의 상부 표면보다 낮다. 반도체 영역(26)은 기판(20)의 격자 상수보다 큰 제 1 격자 상수를 가질 수 있다. 일부 실시예들에서, 반도체 영역(26)은 실리콘 게르마늄을 포함하고, 이는 Si1 - xGex로 표현되며, 여기서 X 값은 반도체 영역(26)에서의 게르마늄의 원자 퍼센트이고, 게르마늄 원자 퍼센트는 예시적인 실시예들에서 대략 0.2(20 퍼센트)와 1(100 퍼센트) 사이일 수 있다. 반도체 영역(26)은 릴렉스된 반도체 영역이고, 이것은 반도체 영역의 적어도 상부 부분이 실질적으로 어떠한 응력도 없이 릴렉스된다는 것을 의미한다. 이것은, 예를 들어, 상당히 두꺼운 두께(T1)를 만듦으로써 달성될 수 있는데, 왜냐하면 반도체 영역(26)의 상위 부분에서의 응력이 하위 부분에서보다 점점 더 작아지기 때문이다. 일부 예시적인 실시예들에서, 두께(T1)는 대략 30 nm보다 크고, 대략 30 nm와 대략 150 nm 사이일 수 있다. 일부 예시적인 실시예들에서, 반도체 영역(26)의 전도대는 대략 0.036 eV와 대략 0.144 eV 만큼 벌크 실리콘의 전도대보다 낮을 수 있고, 여기서 실리콘의 전도대는 대략 1.1 eV이다. 도 11은 반도체 영역(26)의 밴드갭(BG1), 전도대(Ec1), 및 가전자대(Ev1)를 개략적으로 나타낸다.
다음으로, 도 4를 참조하면, 반도체 영역(28)은 에피택시를 통해 리세스(24)에 성장되고, 여기서 반도체 영역(28)은 반도체 영역(26) 위에 성장되어 반도체 영역(26)에 접촉한다. 반도체 영역(28)은 인장 변형을 가질 수 있고, n형 불순물 및 p형 불순물로 도핑되지 않을 수 있다. 일부 실시예들에서, 인장 변형은 반도체 영역(26)의 격자 상수보다 작은 반도체 영역(28)의 격자 상수를 만듦으로써 발생될 수 있다. 일부 실시예들에서, 인장 변형은 대략 1.36 MPa보다 크고, 대략 6.8 GPa보다 클 수 있다. 반도체 영역(28)은 리세스(24)의 일부분(도 3)을 포함하고, 이 일부분은 두께(T2)를 갖는다. 두께(T2)는 충분히 작아서, 도 5의 후속하는 화학적 기계적 연마(CMP) 이후에, 반도체 영역(28)은 릴렉스되지 않고, 인장 변형을 갖는다. 일부 예시적인 실시예들에서, 두께(T2)는 대략 150 nm보다 작고, 대략 30 nm와 대략 150 nm 사이일 수 있다.
도 11은 반도체 영역(28)의 밴드갭(BG2), 전도대(Ec2), 및 가전자대(Ev2)를 개략적으로 나타낸다. 가전자대(Ec2)는 반도체 영역(26)의 가전자대(Ec1)보다 낮고, 그 차이(Ec1 - Ec2)는 예를 들어 대략 0.036 eV보다 크다. 일부 실시예들에서, 반도체 영역(28)은 Si1 - yGey을 포함하고, 여기서 Y 값은 반도체 영역(28)에서의 게르마늄의 원자 퍼센트이다. Y 값은 대략 0.3(30 퍼센트)보다 작을 수 있고, 0과 대략 0.3 사이일 수 있다. Y 값은 또한 0과 동일할 수 있고, 이것은 반도체 영역(28)이 게르마늄이 없는 실리콘 영역인 것을 의미한다. 더욱이, Y 값은 반도체 영역(26)의 X 값보다 작고, 그 차이(X - Y)는 예를 들어, 대략 0.1보다 크거나, 대략 0.3보다 크거나, 대략 0.5보다 클 수 있다. 유리하게, 차이(X - Y)가 클수록 반도체 영역(28)에서 인장 변형을 더욱 크게할 수 있고, 전도대 차이(Ec1 - Ec2)를 더욱 크게 할 수 있다.
반도체 영역(28)은 STI 영역(22)의 상부 표면보다 높은 레벨로 성장될 수 있다. 그리고 나서, CMP가 수행되어 STI 영역(22)의 상부 표면과 반도체 영역(28)을 동등하게 만들 수 있다. 결과 구조물이 도 5에 도시된다. 대안적인 실시예들에서, 반도체 영역(28)의 성장은 반도체 영역(28)의 상부 표면이 STI 영역(22)의 상부 표면보다 낮거나 동등할 때 정지한다. 이러한 실시예들에서, CMP는 수행될 수도 있고, 생략될 수도 있다.
도 6을 참조하면, STI 영역(22)이 예를 들어 에칭 단계를 통해 리세스된다. 잔여 STI 영역(22)의 상부 표면(22A)은 반도체 영역(26)과 반도체 영역(28) 사이의 계면(27)보다 높다. 상부 표면(22A)보다 높은 반도체 영역(28)의 일부분은 이하에 반도체 핀(30)으로 언급된다.
도 7은 반도체 영역(28)의 시닝(thinning)을 나타낸다. 일부 실시예들에서, 시닝은 포토레지스트(31)을 적용하고 패턴화하고, 그리고 나서 반도체 핀(30)을 에칭하기 위해 포토레지스트(31)를 마스크로서 이용함으로써 수행된다. 시닝의 결과로서, 반도체 영역(28)은 하위 부분 및 하위 부분보다 협소한 상위 부분을 갖는다. 하위 부분은 폭(W2)을 갖고, 상위 부분은 폭(W3)을 갖고, 여기서 폭(W2) 및 폭(W3) 모두는 협소한 부분으로부터 넓은 부분으로 전이하는 전이 영역에 가깝게 측정된다. 일부 실시예들에서, 폭(W2)은 대략 8 nm와 대략 200 nm 사이이고, 폭(W3)은 대략 6 nm와 대략 200 nm 사이이다. 차이(W2 - W3)는 예를 들어 대략 2 nm보다 크거나, 대략 5 nm보다 클 수 있다. 일부 예시적인 실시예들에서, 반도체 핀(30)의 전체가 시닝된다. 대안적인 실시예들에서, 각각의 반도체 핀(30)의 상위 부분이 시닝되고, 각각의 반도체 핀(30)의 하위 부분은 시닝되지 않고 남아 있다.
시닝으로 인해, 반도체 영역(28)의 협소한 부분은 측벽(28A)을 갖고, 반도체 영역(28)의 넓은 부분은 측벽(28B)을 갖고, 측벽(28B)은 각각 위에 놓여 있는 측벽(28A)과 수직적으로 어긋난다. 더욱이, 측벽(28B)은 각각 위에 놓여 있는 측벽(28A)에 연속적으로 전이되지 않는다. 오히려, 넓은 부분의 상부 표면(28C)이 측벽(28B)을 각각 위에 놓여 있는 측벽(28A)에 연결한다. 일부 실시예들에서, 상부 표면(28C)은 실질적으로 평평하다. 측벽(28B) 및 각각 위에 놓여 있는 측벽(28A)은 어긋남(r1)만큼 어긋날 수 있고, 어긋남(r1)은 대략 1 nm보다 크거나, 대략 5 nm보다 클 수 있다. 상부 표면(28C)은 STI 영역(22)의 상부 표면(22A)과 동등할 수 있다. 대안적으로, 도시되지 않았지만, 상부 표면(28C)은 STI 영역(22)의 상부 표면(22A)보다 높다.
도 8은 반도체 영역(34)의 형성을 나타내고, 반도체 영역(34)은 노출된 상부 표면 및 반도체 핀(30)의 측벽 상에 에피택셜 성장된다. 반도체 영역(34)은 실질적으로 컨포멀층(conformal layer)으로, 반도체 핀(30)의 상부 표면의 부분은 실질적으로 반도체 핀(30)의 측벽의 부분과 같은 두께(T3)를 갖는다. 일부 실시예들에서, 두께(T3)는 대략 5 nm와 대략 150 nm사이이다. 반도체 영역(34)은 반도체 영역(28)의 협소한 부분의 측벽 및 상부 표면과 접촉하고, 반도체 영역(28)의 넓은 부분의 상부 표면(28C)과 접촉한다. 상부 표면(28C)이 STI 영역(22)의 상부 표면(22A)보다 높은 실시예에서, 반도체 영역(34)은 또한 반도체 영역(28)의 넓은 부분의 측벽 상에 성장된다.
반도체 영역(34)의 밴드갭(BG3), 전도대(Ec3) 및 가전자대(Ev3)가 도 11에 개략적으로 나타난다. 가전자대(Ec3)는 반도체 영역(28)의 가전자대(Ec2)보다 높고, 그 차이(Ec3 - Ec2)는 예를 들어 대략 0.036 eV보다 크다. 일부 실시예들에서, 반도체 영역(34)은 Si1 - zGez을 포함하고, 여기서 Z 값은 반도체 영역(34)에서의 실리콘의 원자 퍼센트이다. Z 값은 대략 0.3보다 클 수 있고, 대략 0.3과 1 사이일 수 있다. Z 값은 또한 1과 동일할 수 있고, 이것은 반도체 영역(34)이 실리콘이 없는 순수 게르마늄 영역인 것을 의미한다. 더욱이, Z 값은 반도체 영역(28)의 Y 값보다 크고, 그 차이(Z - Y)는 예를 들어, 대략 0.1보다 크거나, 대략 0.3보다 클 수 있다. 유리하게, 차이(Z - Y)가 크면 클수록 전도대의 차이(Ec3 - Ec2)가 클 수 있다.
일부 실시예들에서, 반도체 영역(34)은 층(34A) 및 층(34A) 위에 형성되는 층(34B)을 포함한다. 층(34A) 및 층(34B)은 실질적으로 같은 실리콘 원자 퍼센트 및 실질적으로 같은 게르마늄 원자 퍼센트를 갖지만, 이들의 조성은 서로 상이할 수 있다. 일부 예시적인 실시예들에서, 층(34A)은 n형 불순물로 도핑되지 않고, 또한 p형 불순물이 없을 수 있다. 대안적인 실시예들에서, 층(34A)은 예를 들어 대략 1016 /cm3보다 낮은 n형 불순물 농도를 갖는 n형 도핑층이다. 층(34A)의 두께(T4)는 0 nm보다 크고 대략 50 nm보다 작을 수 있다. 층(34B)은 n형 층이고, 여기서 층(34B)의 n형 불순물 농도는 대략 1018 /cm3보다 클 수 있다. 이 실시예들에서, 층(34A)의 n형 불순물 농도는 층(34B)의 n형 불순물 농도보다 낮다. 층(34B)은 밑에 놓여 있는 캐리어 채널(46)(도 10a 내지 도 10c)에 전자를 공급하기 위한 전자 공급층의 역할을 한다.
도핑된 n형 불순물은 인, 비소, 안티모니, 또는 이들의 조합을 포함할 수 있다. 층(34A)의 형성 시에, 어떠한 n형 도펀트도 추가되지 않고, n형 도펀트는 층(34B)의 형성 시에 추가된다는 것을 제외하면, 층(34A) 및 층(34B)은 동일한 진공 챔버에서 인시츄(in-situ) 형성될 수 있고, 본질적으로 동일한 프로세스 조건을 이용하여 형성될 수 있다. 대안적으로, 층(34A) 및 층(34B) 모두의 형성 시에, n형 도펀트가 추가되고, 층(34A)을 형성하기 위한 n형 도펀트의 양은 층(34B)을 형성하기 위한 n형 도펀트의 양보다 작다. 일부 실시예들에서, 도핑된 층(34B)의 두께(T5)는 대략 1 nm와 대략 20 nm사이이다.
일부 실시예들에서, 반도체 영역(34)은 층(34B) 위에 층(34C)을 더 포함한다. 층(34C)은 층(34A) 및 층(34B) 중 하나 또는 두개 모두와 동일한 실리콘 및 게르마늄 원자 퍼센트를 가질 수 있다. 대안적인 실시예들에서, 층(34A), 층(34B), 및 층(34C)의 실리콘 및 게르마늄 원자 퍼센트는 모두 서로 상이하다. 층(34C)은 또한 n형 불순물로 도핑되지 않거나, 각각 밑에 놓여 있는 층(34B)보다 낮은 불순물 농도를 갖는 n형 불순물로 도핑될 수 있다. 대안적인 실시예들에서, 층(34C)은 형성되지 않고, 그 구조물은 도 10b에서 발견될 수 있다.
도 9는 실리콘 캡(36)의 형성을 나타내고, 실리콘 캡(36)은 실질적으로 어떠한 게르마늄도 추가되지 않은 순수한 실리콘 영역일 수 있다. 실리콘 캡(36)은 에피택시를 통해 형성될 수 있으므로, 반도체 영역(34)의 측벽 부분 및 상부 부분 위에 있다. 일부 실시예들에서, 어떠한 n형 불순물 및 p형 불순물도 실리콘 캡(36) 내로 추가되지 않지만, 예를 들어 대략 1016 /cm3보다 낮은 저농도를 갖는 n형 불순물 및 p형 불순물이 또한 추가될 수 있다. 일부 실시예들에서, 실리콘 캡(36)의 두께(T6)은 대략 1 nm와 대략 20 nm 사이일 수 있다. 대안적인 실시예들에서, 실리콘 캡(36)은 형성되지 않는다.
도 9에 도시된 구조물은 도 10a, 도 10b 및 도 10c에 도시된 바와 같은 FinFET(38)을 형성하는데 이용될 수 있다. 도 10a를 참조하면, 게이트 유전체(40) 및 게이트 전극(42)이 형성된다. 게이트 유전체(40)는 실리콘 산화물, 실리콘 질화물, 산화질화물, 이들의 다층 및/또는 이들의 조합과 같은 유전체로 형성될 수 있다. 게이트 유전체(40)는 또한 고유전율(high-k) 유전체로 형성될 수 있다. 예시적인 고유전율(high-k) 유전체는 대략 4.0보다 크거나, 대략 7.0보다 큰 k 값을 가질 수 있다. 게이트 전극(42)은 도핑된 폴리실리콘, 금속, 금속 질화물, 금속 실리사이드 등으로 형성될 수 있다. 게이트 유전체(42)의 하부는 STI 영역(22)의 상부 표면에 접촉할 수 있다. 게이트 유전체(40) 및 게이트 전극(42)의 형성 이후에, 소스 및 드레인 영역(50)(도 10c)이 형성된다.
도 10a에 도시된 바와 같이, 반도체 영역(28)은 인접한 반도체 영역(34)과 계면(44)을 형성한다. 대안적으로 2차원 전자 가스(Two-Dimensional Electron Gas; 2DEG) 채널로 언급되는 캐리어 채널(46)이 형성되어 반도체 영역(28)에 위치한다. 2DEG 채널(46)은 또한 계면(44)에 가까울 수 있다. 반도체 영역(28)은 n형 불순물로 도핑되지 않을 수 있지만, 캐리어 채널(46)은 고밀도 전자를 계속 갖고, 이는 각각 위에 놓여 있는 전자 공급층(34B)에 의해 공급된다.
도 10b는 일부 대안적인 실시예들에 따른 FinFET(38)의 횡단면도를 나타낸다. 도 10a의 층(34C)이 형성되지 않는다는 것을 제외하면, 이러한 실시예들은 도 10a의 실시예들과 실질적으로 동일하다. 따라서, 실리콘 캡(36)은 각각의 밑에 놓여 있는 층(34B)과 물리적으로 접촉한다.
도 10c는 FinFET(38)의 횡단면도를 나타내고, 이 횡단면도는 도 10a의 평면 교차선(10C-10C)으로부터 획득된다. 소스 및 드레인 영역(50)은 게이트 유전체(40) 및 게이트 전극(42)의 대향하는 측면 상에 형성된다. 소스 및 드레인 영역(50)은 인, 비소, 안티모니 등과 같은 n형 불순물로 도핑되므로, 각각의 FinFET(38)는 n형 FinFET이다. 2DEG 채널(46)은 소스 및 드레인 영역(50)과 상호접속한다.
도 11은 반도체 영역(26, 28, 및 34)의 밴드 다이어그램을 개략적으로 나타낸다. 도 11에 도시된 바와 같이, 반도체 영역(28)의 전도대(Ec2)는 반도체 영역(26)의 전도대(Ec1) 및 반도체 영역(34)의 전도대(Ec3)보다 낮다. 따라서, 전도대(Ec1), 전도대(Ec2) 및 전도대(Ec3)는 웰을 형성하고, 전도대(Ec2)는 웰의 하부를 형성한다. 전자 공급층(34B)(도 10a 및 도 10b)에 의해 공급되는 전자(48)는 2DEG 채널을 형성하기 위해 웰에 국한된다. 웰의 형성은 반도체 영역(28)이 인장 변형되는 것에 기인하므로, 전도대(Ec2)는 전도대(Ec1) 및 전도대(Ec3)보다 낮은 레벨로 억압된다. 비교로서, 반도체 영역(28)이 인장 변형되지 않으면, 반도체 영역(28)의 전도대는 반도체 영역(26)의 전도대 및 반도체 영역(34)의 전도대보다 높을 것이므로, 웰 영역 및 2DEG 채널이 형성되지 않을 것이다. 게다가, 반도체 영역(28)이 불순물로 도핑될 수 없기 때문에, 전자가 충돌없이 자유롭게 움직이거나, 불순물과의 충돌이 실질적으로 감소되어 움직일 수 있다.
본 발명개시의 실시예에서, 반도체 영역(28)의 격자 상수보다 큰 격자 상수를 갖는 릴렉스된 반도체 영역(26)을 형성함으로써, 위에 놓여 있는 반도체 영역(28)은 인장 변형을 가질 수 있다. 인장 변형을 반도체 영역(28)에 형성될 전도대 웰을 야기한다. 더욱이, 전자 공급층(34B)이 전자를 공급하기 위해 반도체 영역(28) 위에 놓이도록 형성되고, 전자는 2DEG 채널을 형성하기 위해 반도체 영역(28)에 형성된 웰에 국한된다. 따라서, 결과적인 FinFET는 높은 포화 전류를 갖는다.
게다가, 본 발명개시의 실시예들에서, 반도체 핀을 시닝함으로써, 더욱 많은 공간들이 반도체 핀의 측벽 상에 반도체 층을 형성하기 위해 제공된다. 따라서, 각각의 FinFET에 의해 점유되는 요구되는 칩 영역이 감소된다. 그러나, 각각의 FinFET의 채널 폭이 반대로 감소되지 않는데, 2DEG 채널의 전체 폭이 시닝이 수행되지 않는 시나리오에 비해 감소되지 않기 때문이다.
일부 실시예들에 따라, 디바이스는 기판 및 기판의 일부분 위의 절연 영역들을 포함한다. 제 1 반도체 영역은 절연 영역들 사이에 있고, 제 1 전도대를 갖는다. 제 2 반도체 영역은 제 1 반도체 영역 위에 있고 이에 인접해 있으며, 제 2 반도체 영역은 반도체 핀을 형성하기 위해 절연 영역들의 상부 표면보다 높은 상위 부분을 포함한다. 제 2 반도체 영역은 또한 넓은 부분 및 넓은 부분 위에 협소한 부분을 포함하고, 협소한 부분은 넓은 부분보다 협소하다. 반도체 핀은 인장 응력을 갖고, 제 1 전도대보다 낮은 제 2 전도대를 갖는다. 제 3 반도체 영역은 반도체 핀의 측벽 및 상부 표면 위에 있고 이에 인접해 있으며, 제 3 반도체 영역은 제 2 전도대보다 높은 제 3 전도대를 갖는다.
다른 실시예들에 따라, 디바이스는 실리콘 기판, 및 실리콘 기판의 일부분 위의 STI 영역을 포함한다. 제 1 SiGe 영역은 STI 영역들 사이에 위치하고 이들에 접촉하며, 제 1 SiGe 영역은 제 1 게르마늄 원자 퍼센트를 갖는다. 실리콘 함유 영역이 제 1 SiGe 영역 위에 있다. 실리콘 함유 영역은 인장 응력을 갖는다. 제 2 SiGe 영역은 실리콘 함유 영역의 측벽 및 상부 표면에 접촉한다. 실리콘 함유 영역은 제 1 측벽 및 상부 표면을 갖는 넓은 부분, 및 제 2 측벽을 갖고 넓은 부분 위의 협소한 부분을 갖는다. 제 1 측벽 및 제 2 측벽은 실리콘 함유 영역의 동일측 상에 있다. 넓은 부분의 에지는 제 1 SiGe 영역의 각각의 에지에 수직적으로 정렬된다. 넓은 부분의 상부 표면은 제 1 측벽을 제 2 측벽에 연결한다. 제 2 SiGe 영역은 제 2 게르마늄 원자 퍼센트를 갖는다. 제 1 게르마늄 원자 퍼센트 및 제 2 게르마늄 원자 퍼센트는 실리콘 함유 영역의 제 3 게르마늄 원자 퍼센트보다 높다. 디바이스는 제 2 SiGe 영역 위의 게이트 유전체, 게이트 유전체 위의 게이트 전극, 및 게이트 유전체 및 게이트 전극의 대향측 상의 소스 영역과 드레인 영역을 더 포함한다.
또 다른 실시예들에 따라, 방법은 리세스를 형성하기 위해 2개의 절연 영역들 사이에서 기판의 일부분을 리세스하는 단계, 리세스에 제 1 반도체 영역을 성장시키기 위해 제 1 에피택시를 수행하는 단계, 및 리세스에 제 2 반도체 영역을 성장시키기 위해 제 2 에피택시를 수행하는 단계를 포함한다. 제 1 반도체 영역은 릴렉스된다. 제 2 반도체 영역은 제 1 반도체 영역 위에 있고 이에 접촉한다. 제 2 반도체 영역은 인장 응력을 갖는다. 평탄화가 수행되어 제 2 반도체 영역의 상부 표면과 절연 영역들을 동등하게 한다. 절연 영역들이 리세스되고, 절연 영역들 위의 제 2 반도체 영역의 상부 부분은 반도체 핀을 형성한다. 반도체 핀은 더욱 작을 폭을 갖도록 시닝된다. 제 3 에피택시가 수행되어 반도체 핀의 측벽 및 상부 표면 상에 제 3 반도체 영역을 성장시킨다. 제 2 반도체 영역은 제 1 반도체 영역 및 제 3 반도체 영역의 전도대보다 낮은 전도대를 갖는다.
본 발명개시의 실시예들 및 이들의 장점들을 자세하게 설명하였지만, 다양한 변경, 대체, 및 변동이 첨부된 청구범위들에 의해 정의된 실시예들의 범위 및 사상으로부터 일탈하지 않고서 행해질 수 있다는 것을 이해해야 한다. 더욱이, 본 출원의 범위는 상세한 설명에서 설명된 공정, 머신, 제품, 문제의 구성, 수단, 방법, 및 단계의 특정한 실시예들로 한정되는 것을 의도하지 않는다. 본 발명분야의 당업자라면 본 발명개시에 따라 이용될 수 있는 본 명세서에서 설명된 대응하는 실시예들과 실질적으로 동일한 기능을 수행하거나 이와 실질적으로 동일한 결과를 달성하는, 현존하거나 후에 개발될 공정, 머신, 제품, 문제의 구성, 수단, 방법, 및 단계를 본 발명개시로부터 손쉽게 알 수 있을 것이다. 따라서, 첨부된 청구항들은 이와 같은 공정, 머신, 제품, 문제의 구성, 수단, 방법, 및 단계를 청구항의 범위 내에 포함하는 것으로 한다. 게다가, 각각의 청구항들은 개별 실시예들을 구성하고, 다양한 청구항 및 실시예들의 조합은 본 발명개시의 범위 내에 있다.
20: 기판 22: STI 영역
24: 리세스 26, 28, 34: 반도체 영역
27, 44: 계면 30: 반도체 핀
31: 포토레지스트 28: 측벽
36: 실리콘 캡 40: 게이트 유전체
42: 게이트 전극 46: 캐리어 채널
48: 전자 50: 소스 및 드레인 영역

Claims (10)

  1. 기판;
    상기 기판의 일부분 위의 절연 영역들;
    상기 절연 영역들 사이에 있고 제 1 전도대를 갖는 제 1 반도체 영역;
    상기 제 1 반도체 영역 위에 있고 이에 인접해 있는 제 2 반도체 영역으로서, 상기 제 2 반도체 영역은 반도체 핀을 형성하기 위해 상기 절연 영역들의 상부 표면보다 높은 상위 부분을 포함하고, 상기 반도체 핀은 인장 응력을 갖고 상기 제 1 전도대보다 낮은 제 2 전도대를 갖고, 상기 제 2 반도체 영역은 넓은 부분, 및 상기 넓은 부분 위의 협소한 부분을 포함하고, 상기 협소한 부분은 상기 넓은 부분보다 협소한 것인 제 2 반도체 영역; 및
    상기 반도체 핀의 측벽 및 상부 표면 위에 있고 이에 인접해 있는 제 3 반도체 영역으로서, 상기 제 3 반도체 영역은 상기 제 2 전도대보다 높은 제 3 전도대를 갖는 것인 제 3 반도체 영역
    을 포함하는 것인 디바이스.
  2. 제 1 항에 있어서, 상기 넓은 부분은 상기 협소한 부분의 각각의 측벽을 넘어서 측방향으로 확장되는 확장 부분을 포함하고, 상기 확장 부분은 평평한 상부 표면을 갖는 것인 디바이스.
  3. 제 2 항에 있어서, 상기 평평한 상부 표면은 상기 절연 영역들의 상부 표면보다 높거나 동등한 것인 디바이스.
  4. 제 1 항에 있어서, 상기 제 2 반도체 영역은 상기 제 1 반도체 영역과 상기 제 3 반도체 영역의 격자 상수보다 작은 격자 상수를 갖는 것인 디바이스.
  5. 제 1 항에 있어서, 상기 협소한 부분의 폭은 2 nm보다 큰 차이만큼 상기 넓은 부분의 폭보다 작은 것인 디바이스.
  6. 제 1 항에 있어서, 상기 제 3 반도체 영역은
    상기 반도체 핀의 상부 표면 및 측벽 상에서 n형 불순물이 없는 제 1 비도핑 층; 및
    상기 제 1 비도핑층 위의 도핑층을 포함하고,
    상기 도핑층은 n형 불순물로 도핑되는 것인 디바이스.
  7. 제 6 항에 있어서, 상기 제 3 반도체 영역은 상기 도핑층 위에 놓여 있는 제 2 비도핑층을 더 포함하고, 상기 제 2 비도핑층은 n형 불순물이 없는 것인 디바이스.
  8. 제 1 항에 있어서, 상기 제 1 반도체 영역과 상기 제 2 반도체 영역 사이의 계면은 상기 절연 영역들의 상부 표면보다 낮은 것인 디바이스.
  9. 실리콘 기판;
    상기 실리콘 기판의 일부분에 확장되는 쉘로우 트렌치 분리(shallow trench isolation; STI) 영역들;
    상기 STI 영역들 사이에 위치하고 이에 접촉하는 제 1 실리콘 게르마늄(SiGe) 영역으로서, 상기 제 1 SiGe 영역은 제 1 게르마늄 원자 퍼센트를 갖는 것인 제 1 SiGe 영역;
    상기 제 1 SiGe 영역 위의 실리콘 함유 영역으로서, 상기 실리콘 함유 영역은,
    제 1 측벽 및 상부 표면을 갖는 넓은 부분 - 상기 넓은 부분의 에지는 상기 제 1 SiGe 영역의 각각의 에지에 수직적으로 정렬됨 - ; 및
    제 2 측벽을 갖고 상기 넓은 부분 위의 협소한 부분 - 상기 제 1 측벽 및 상기 제 2 측벽은 상기 실리콘 함유 영역의 동일측 상에 있고, 상기 넓은 부분의 상부 표면은 상기 제 1 측벽을 상기 제 2 측벽에 연결시킴 - 을 포함하는 것인 실리콘 함유 영역;
    상기 실리콘 함유 영역의 협소한 부분의 측벽과 상부 표면 및 상기 넓은 부분의 상부 표면에 접촉하는 제 2 SiGe 영역으로서, 상기 제 2 SiGe 영역은 제 2 게르마늄 원자 퍼센트를 갖고, 상기 제 1 게르마늄 원자 퍼센트 및 상기 제 2 게르마늄 원자 퍼센트는 상기 실리콘 함유 영역의 제 3 게르마늄 원자 퍼센트보다 높은 것인 제 2 SiGe 영역;
    상기 제 2 SiGe 영역 위의 게이트 유전체;
    상기 게이트 유전체 위의 게이트 전극; 및
    상기 게이트 유전체 및 상기 게이트 전극의 대향측 상의 소스 영역과 드레인 영역을 포함하는 것인 디바이스.
  10. 리세스를 형성하기 위해 2개의 절연 영역들 사이에서 기판의 일부분을 리세스하는 단계;
    상기 리세스에 제 1 반도체 영역을 성장시키기 위해 제 1 에피택시를 수행하는 단계로서, 상기 제 1 반도체 영역은 릴렉스되는 것인 제 1 에피택시 수행 단계;
    상기 리세스에 제 2 반도체 영역을 성장시키기 위해 제 2 에피택시를 수행하는 단계로서, 상기 제 2 반도체 영역은 상기 제 1 반도체 영역 위에 있고 이에 접촉하며, 상기 제 2 반도체 영역은 인장 응력을 갖는 것인 제 2 에피택시 수행 단계;
    상기 제 2 반도체 영역의 상부 표면과 상기 절연 영역들의 상부 표면을 동등하게 하기 위해 평탄화를 수행하는 단계;
    절연 영역들을 리세스하는 단계로서, 상기 절연 영역들 위의 상기 제 2 반도체 영역의 상부 부분은 반도체 핀을 형성하는 것인 리세스 단계;
    반도체 핀을 시닝(thinning)하는 단계; 및
    상기 반도체 핀의 측벽 및 상부 표면 상에 제 3 반도체 영역을 성장시키기 위해 제 3 에피택시를 수행하는 단계로서, 상기 제 2 반도체 영역은 상기 제 1 반도체 영역의 전도대 및 상기 제 3 반도체 영역의 전도대보다 낮은 전도대를 갖는 것인 제 3 에피택시 수행 단계
    를 포함하는 방법.
KR1020130090213A 2013-03-08 2013-07-30 변형된 웰 영역을 갖는 finfet KR101452122B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/789,798 US9159824B2 (en) 2013-02-27 2013-03-08 FinFETs with strained well regions
US13/789,798 2013-03-08

Publications (2)

Publication Number Publication Date
KR20140110690A KR20140110690A (ko) 2014-09-17
KR101452122B1 true KR101452122B1 (ko) 2014-10-16

Family

ID=51385439

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130090213A KR101452122B1 (ko) 2013-03-08 2013-07-30 변형된 웰 영역을 갖는 finfet

Country Status (3)

Country Link
US (5) US9159824B2 (ko)
KR (1) KR101452122B1 (ko)
DE (1) DE102013104481B4 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160102792A (ko) * 2015-02-23 2016-08-31 경북대학교 산학협력단 반도체 소자 및 그의 제조방법
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
KR101808915B1 (ko) 2015-07-31 2017-12-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 핀 구조물을 포함하는 반도체 디바이스 및 그 제조 방법

Families Citing this family (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9385234B2 (en) 2013-02-27 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9087902B2 (en) 2013-02-27 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
CN104218082B (zh) * 2013-06-04 2017-08-25 中芯国际集成电路制造(上海)有限公司 高迁移率鳍型场效应晶体管及其制造方法
US9099559B2 (en) 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US20160190319A1 (en) * 2013-09-27 2016-06-30 Intel Corporation Non-Planar Semiconductor Devices having Multi-Layered Compliant Substrates
US9373706B2 (en) * 2014-01-24 2016-06-21 Samsung Electronics Co., Ltd. Methods of forming semiconductor devices, including forming a semiconductor material on a fin, and related semiconductor devices
US9236474B2 (en) 2014-02-21 2016-01-12 Stmicroelectronics, Inc. Method to form strained channel in thin box SOI structures by elastic strain relaxation of the substrate
US9660080B2 (en) 2014-02-28 2017-05-23 Stmicroelectronics, Inc. Multi-layer strained channel FinFET
US9530777B2 (en) 2014-03-04 2016-12-27 Stmicroelectronics, Inc. FinFETs of different compositions formed on a same substrate
US10468528B2 (en) 2014-04-16 2019-11-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device with high-k metal gate stack
US9178067B1 (en) * 2014-04-25 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device
US9721955B2 (en) 2014-04-25 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device having an oxide feature
US9418903B2 (en) * 2014-05-21 2016-08-16 Globalfoundries Inc. Structure and method for effective device width adjustment in finFET devices using gate workfunction shift
US9224736B1 (en) 2014-06-27 2015-12-29 Taiwan Semicondcutor Manufacturing Company, Ltd. Structure and method for SRAM FinFET device
US9543438B2 (en) 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US9601377B2 (en) * 2014-10-17 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET formation process and structure
US10910481B2 (en) * 2014-11-05 2021-02-02 Cree, Inc. Semiconductor device with improved insulated gate
US9431514B2 (en) * 2014-12-19 2016-08-30 Stmicroelectronics, Inc. FinFET device having a high germanium content fin structure and method of making same
KR102351659B1 (ko) * 2015-04-03 2022-01-17 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자
US9583599B2 (en) * 2015-04-22 2017-02-28 International Business Machines Corporation Forming a fin using double trench epitaxy
US9954107B2 (en) * 2015-05-05 2018-04-24 International Business Machines Corporation Strained FinFET source drain isolation
US10269968B2 (en) * 2015-06-03 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures and manufacturing method thereof
US9653580B2 (en) * 2015-06-08 2017-05-16 International Business Machines Corporation Semiconductor device including strained finFET
KR102475832B1 (ko) * 2015-06-16 2022-12-09 인텔 코포레이션 서브핀 층을 갖는 트랜지스터
US10229997B2 (en) * 2015-06-23 2019-03-12 Intel Corporation Indium-rich NMOS transistor channels
US9607990B2 (en) * 2015-08-28 2017-03-28 International Business Machines Corporation Method to form strained nFET and strained pFET nanowires on a same substrate
CN106611787A (zh) * 2015-10-26 2017-05-03 联华电子股份有限公司 半导体结构及其制作方法
CN107452793B (zh) * 2016-06-01 2020-07-28 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
KR102619874B1 (ko) * 2016-06-23 2024-01-03 삼성전자주식회사 불순물 영역을 갖는 반도체 소자
US9899372B1 (en) * 2016-10-31 2018-02-20 International Business Machines Corporation Forming on-chip metal-insulator-semiconductor capacitor
TWI746673B (zh) 2016-12-15 2021-11-21 台灣積體電路製造股份有限公司 鰭式場效電晶體裝置及其共形傳遞摻雜方法
US10276691B2 (en) * 2016-12-15 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Conformal transfer doping method for fin-like field effect transistor
US11107924B2 (en) 2016-12-29 2021-08-31 Intel Corporation Systems and methods to reduce FinFET gate capacitance
US10727131B2 (en) 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10483267B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Eight-transistor static random-access memory, layout thereof, and method for manufacturing the same
US10535736B2 (en) 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10403545B2 (en) 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Power reduction in finFET structures
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10505021B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet device and method of forming the same
US10325912B2 (en) 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10847622B2 (en) * 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
US10483168B2 (en) 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10867809B2 (en) 2017-11-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Laser anneal process
US10672613B2 (en) 2017-11-22 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure and semiconductor device
US10374038B2 (en) 2017-11-24 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device source/drain region with arsenic-containing barrier region
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US11183423B2 (en) 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US10510889B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10497778B2 (en) 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US10748774B2 (en) 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10672889B2 (en) 2017-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
WO2019117946A1 (en) * 2017-12-15 2019-06-20 Intel Corporation Reducing off-state leakage in semiconductor devices
US10749007B2 (en) 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10763363B2 (en) 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
US10854715B2 (en) 2018-04-13 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Supportive layer in source/drains of FinFET devices
US10522390B1 (en) 2018-06-21 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation for integrated circuits
US10790391B2 (en) 2018-06-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layer profile
US11011623B2 (en) * 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11114566B2 (en) * 2018-07-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10700180B2 (en) 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10707333B2 (en) 2018-07-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11114303B2 (en) 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US11227918B2 (en) 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US10763255B2 (en) 2018-08-14 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11211479B2 (en) 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US11024550B2 (en) 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11264380B2 (en) 2018-08-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10867862B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor method and device
US10886269B2 (en) 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11018012B2 (en) 2018-09-21 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures with deposited silicide layers
US11626507B2 (en) 2018-09-26 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration
US10991630B2 (en) 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10879355B2 (en) 2018-09-27 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Profile design for improved device performance
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10872805B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10867861B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10680075B2 (en) 2018-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
US11069812B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11264237B2 (en) 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10868114B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11087987B2 (en) 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11296077B2 (en) 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US11101360B2 (en) 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11164944B2 (en) 2018-11-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US11088150B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11217526B2 (en) 2019-02-28 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source resistor and manufacturing method thereof
US11024650B2 (en) 2019-04-26 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and a method for fabricating the same
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
KR20200138540A (ko) 2019-05-31 2020-12-10 삼성전자주식회사 반도체 소자 및 그 제조 방법
US11069578B2 (en) 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US11056573B2 (en) 2019-06-14 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation and annealing for semiconductor device
US11107923B2 (en) 2019-06-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions of FinFET devices and methods of forming same
US11004725B2 (en) 2019-06-14 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device with gaps in the source/drain region
US11682665B2 (en) 2019-06-19 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor layout with different row heights
US11373870B2 (en) 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
US11049774B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid source drain regions formed based on same Fin and methods forming same
CN112310208A (zh) * 2019-07-29 2021-02-02 华为技术有限公司 增强型氮化镓基晶体管及其制备方法、电子装置
US10985266B2 (en) 2019-08-20 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling for semiconductor device
US11133416B2 (en) 2019-08-23 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices having plural epitaxial layers
US10978344B2 (en) 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
US11011372B2 (en) 2019-08-23 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11101180B2 (en) 2019-08-23 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11088249B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with implant and method of manufacturing same
KR20210039060A (ko) 2019-10-01 2021-04-09 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US11296227B2 (en) 2019-10-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11522085B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric semiconductor device and method
US11502197B2 (en) 2019-10-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxial layers
US11515212B2 (en) 2019-10-30 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having controlled S/D epitaxial shape
US11374090B2 (en) 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11081401B2 (en) 2019-11-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11282944B2 (en) 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11233156B2 (en) 2020-01-15 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US11309419B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11322603B2 (en) 2020-01-21 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-punch-through doping on source/drain region
WO2021148180A1 (de) 2020-01-23 2021-07-29 Continental Automotive Gmbh Aufprallsensor mit einem schlauchförmigen körper
DE102020200832B4 (de) 2020-01-24 2022-11-10 Continental Automotive Technologies GmbH Aufprallsensor mit einem schlauchförmigen Körper
US11251268B2 (en) 2020-01-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with doped structure
US11521969B2 (en) 2020-01-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for semiconductor devices
US11417764B2 (en) 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices
US11245028B2 (en) 2020-01-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11522050B2 (en) 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11177180B2 (en) * 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
US20210257462A1 (en) * 2020-02-19 2021-08-19 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon-Germanium Fins and Methods of Processing the Same in Field-Effect Transistors
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
US11107736B1 (en) 2020-03-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11387365B2 (en) 2020-04-01 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device for recessed fin structure having rounded corners
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11139432B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming a FinFET device
US11189697B2 (en) 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
CN113053878A (zh) 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11955370B2 (en) 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11532731B2 (en) 2020-05-28 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11682711B2 (en) 2020-05-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having multi-layered gate spacers
US11935793B2 (en) 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11424347B2 (en) 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417571B2 (en) 2020-06-12 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dopant profile control in gate structures for semiconductor devices
US11296095B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11264513B2 (en) 2020-06-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for transistors
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11437371B2 (en) 2020-07-10 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with negative capacitance layers
US11245023B1 (en) 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11557518B2 (en) 2020-08-12 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gapfill structure and manufacturing methods thereof
US11315834B2 (en) 2020-08-13 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFETs with epitaxy regions having mixed wavy and non-wavy portions
US11610979B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors
US11610890B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions extending below STI regions and profiles thereof
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11569234B2 (en) 2020-09-21 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11721593B2 (en) 2020-09-30 2023-08-08 Tiawan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial structures for semiconductor devices
US11450743B2 (en) 2020-10-21 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device with implantation of impurities at high temperature
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11688807B2 (en) 2020-10-27 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming
US11276604B1 (en) 2020-10-27 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Radical-activated etching of metal oxides
US11854831B2 (en) 2020-11-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning process for source/drain epitaxial structures
US11777014B2 (en) 2021-01-04 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Controlled doping in a gate dielectric layer
US11948939B2 (en) 2021-01-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Profile control of gate structures in semiconductor devices
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11887985B2 (en) 2021-03-04 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11387109B1 (en) 2021-03-05 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. CMP process and methods thereof
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11575026B2 (en) 2021-03-19 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11600534B2 (en) 2021-03-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structures and method of forming
US11961884B2 (en) 2021-03-31 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fill structures with air gaps
US11855092B2 (en) 2021-04-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11929287B2 (en) 2021-04-23 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
US11869892B2 (en) 2021-04-29 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11476342B1 (en) 2021-05-05 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with improved source and drain contact area and methods of fabrication thereof
US11901415B2 (en) 2021-05-28 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11869954B2 (en) 2021-05-28 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructured channel regions for semiconductor devices
US11908921B2 (en) 2021-08-26 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11688625B2 (en) 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US11710781B2 (en) 2021-08-30 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Growth process and methods thereof
US11901412B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Facet-free epitaxial structures for semiconductor devices
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
US11942533B2 (en) 2021-08-31 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Channel structures for semiconductor devices
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
US11948840B2 (en) 2021-08-31 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Protective layer over FinFET and method of forming same

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050078145A (ko) * 2004-01-30 2005-08-04 삼성전자주식회사 수직 채널을 갖는 전계 효과 트랜지스터를 포함하는반도체 소자 및 그 형성 방법
WO2007046150A1 (ja) 2005-10-21 2007-04-26 Fujitsu Limited フィン型半導体装置及びその製造方法
KR101145959B1 (ko) 2009-04-01 2012-05-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 향상된 전류 점멸비를 갖는 고이동도 다중 게이트 트랜지스터
KR101218735B1 (ko) 2009-11-10 2013-01-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 고-이동성 채널들을 구비한 장치들의 소스/드레인 공학

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5401987A (en) 1993-12-01 1995-03-28 Imp, Inc. Self-cascoding CMOS device
US6607948B1 (en) 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6763511B2 (en) 2001-07-02 2004-07-13 Nec Electronics Corporation Semiconductor integrated circuit having macro cells and designing method of the same
US20030230778A1 (en) * 2002-01-30 2003-12-18 Sumitomo Mitsubishi Silicon Corporation SOI structure having a SiGe Layer interposed between the silicon and the insulator
US6635909B2 (en) 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
KR100487566B1 (ko) * 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100674914B1 (ko) * 2004-09-25 2007-01-26 삼성전자주식회사 변형된 채널층을 갖는 모스 트랜지스터 및 그 제조방법
KR100696197B1 (ko) 2005-09-27 2007-03-20 한국전자통신연구원 실리콘 기판을 이용한 다중 게이트 모스 트랜지스터 및 그제조 방법
US7928426B2 (en) * 2007-03-27 2011-04-19 Intel Corporation Forming a non-planar transistor having a quantum well channel
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US8120063B2 (en) * 2008-12-29 2012-02-21 Intel Corporation Modulation-doped multi-gate devices
US8080820B2 (en) * 2009-03-16 2011-12-20 Intel Corporation Apparatus and methods for improving parallel conduction in a quantum well device
US8283653B2 (en) 2009-12-23 2012-10-09 Intel Corporation Non-planar germanium quantum well devices
DE102010038742B4 (de) 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8575653B2 (en) 2010-09-24 2013-11-05 Intel Corporation Non-planar quantum well device having interfacial layer and method of forming same
US8367498B2 (en) * 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9761666B2 (en) * 2011-06-16 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
US8618556B2 (en) 2011-06-30 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design and method of fabricating same
US8890207B2 (en) 2011-09-06 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET design controlling channel thickness
US20130270638A1 (en) * 2012-04-13 2013-10-17 International Business Machines Corporation Strained soi finfet on epitaxially grown box
US8796695B2 (en) * 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8497171B1 (en) 2012-07-05 2013-07-30 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET method and structure with embedded underlying anti-punch through layer
EP2701198A3 (en) * 2012-08-24 2017-06-28 Imec Device with strained layer for quantum well confinement and method for manufacturing thereof
US20140134844A1 (en) 2012-11-12 2014-05-15 Infineon Technologies Dresden Gmbh Method for processing a die
US9385234B2 (en) 2013-02-27 2016-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9087902B2 (en) * 2013-02-27 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050078145A (ko) * 2004-01-30 2005-08-04 삼성전자주식회사 수직 채널을 갖는 전계 효과 트랜지스터를 포함하는반도체 소자 및 그 형성 방법
WO2007046150A1 (ja) 2005-10-21 2007-04-26 Fujitsu Limited フィン型半導体装置及びその製造方法
KR101145959B1 (ko) 2009-04-01 2012-05-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 향상된 전류 점멸비를 갖는 고이동도 다중 게이트 트랜지스터
KR101218735B1 (ko) 2009-11-10 2013-01-18 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 고-이동성 채널들을 구비한 장치들의 소스/드레인 공학

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160102792A (ko) * 2015-02-23 2016-08-31 경북대학교 산학협력단 반도체 소자 및 그의 제조방법
KR101668445B1 (ko) * 2015-02-23 2016-10-21 경북대학교 산학협력단 반도체 소자 및 그의 제조방법
US9564489B2 (en) 2015-06-29 2017-02-07 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
US9659780B2 (en) 2015-06-29 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field-effect transistors having oxygen-scavenged gate stack
KR101769214B1 (ko) * 2015-06-29 2017-08-17 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스를 형성하기 위한 방법
US10263091B2 (en) 2015-06-29 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple gate field effect transistors having oxygen-scavenged gate stack
KR101808915B1 (ko) 2015-07-31 2017-12-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 핀 구조물을 포함하는 반도체 디바이스 및 그 제조 방법
US9997600B2 (en) 2015-07-31 2018-06-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures
US10134844B2 (en) 2015-07-31 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including fin structures disposed over buffer structures

Also Published As

Publication number Publication date
DE102013104481B4 (de) 2014-10-16
US10164023B2 (en) 2018-12-25
US20170179291A1 (en) 2017-06-22
US20140252469A1 (en) 2014-09-11
US9859380B2 (en) 2018-01-02
US20180083103A1 (en) 2018-03-22
US10164022B2 (en) 2018-12-25
US20170373190A1 (en) 2017-12-28
US9159824B2 (en) 2015-10-13
DE102013104481A1 (de) 2014-09-11
KR20140110690A (ko) 2014-09-17
US20150380528A1 (en) 2015-12-31
US9601342B2 (en) 2017-03-21

Similar Documents

Publication Publication Date Title
KR101452122B1 (ko) 변형된 웰 영역을 갖는 finfet
US10158015B2 (en) FinFETs with strained well regions
US10699941B2 (en) MOSFETs with channels on nothing and methods for forming the same
US9741800B2 (en) III-V multi-channel FinFETs
US9508849B2 (en) Device having source/drain regions regrown from un-relaxed silicon layer
US9245882B2 (en) FinFETs with gradient germanium-containing channels
US9171925B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
US9748142B2 (en) FinFETs with strained well regions
JP2015159339A (ja) 金属ゲートとストレッサーを有するゲルマニウムフィンfet
US8822290B2 (en) FinFETs and methods for forming the same
US20150123166A1 (en) Methods of forming finfet devices with alternative channel materials

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170928

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180928

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190926

Year of fee payment: 6