KR101300689B1 - 플라즈마 반응기를 위한 스마트 승강-핀 메카니즘을구비하는 정전기 척 - Google Patents

플라즈마 반응기를 위한 스마트 승강-핀 메카니즘을구비하는 정전기 척 Download PDF

Info

Publication number
KR101300689B1
KR101300689B1 KR1020077023423A KR20077023423A KR101300689B1 KR 101300689 B1 KR101300689 B1 KR 101300689B1 KR 1020077023423 A KR1020077023423 A KR 1020077023423A KR 20077023423 A KR20077023423 A KR 20077023423A KR 101300689 B1 KR101300689 B1 KR 101300689B1
Authority
KR
South Korea
Prior art keywords
wafer
lifting
lift
force
pin
Prior art date
Application number
KR1020077023423A
Other languages
English (en)
Other versions
KR20070122481A (ko
Inventor
히로지 한아와
앤드류 니구옌
케니쓰 에스. 콜린스
카르틱 라마스와미
비아지오 갈로
아미르 알-바야티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20070122481A publication Critical patent/KR20070122481A/ko
Application granted granted Critical
Publication of KR101300689B1 publication Critical patent/KR101300689B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

공작물을 프로세싱하기 위해 반응기내에서 사용하기 위한 승강 핀 조립체는 승강 방향과 일반적으로 평행하게 연장하는 다수의 승강 핀들을 포함하고, 다수의 승강 핀들 각각은 상기 공작물을 지지하기 위한 상단부 및 하단부를 구비한다. 승강 테이블은 핀들의 하단부들과 마주하고, 승강 방향과 일반적으로 평행한 방향으로 병진운동할 수 있다. 작은 힘 탐지기는 척킹된 웨이퍼를 나타낼 수 있을 정도로 충분히 크고 웨이퍼의 디척킹을 방지할 수 있을 정도로 충분히 작은, 승강 핀들에 의해 작용되는 힘을 감지한다. 큰 힘 탐지기는 상기 웨이퍼를 디척킹하기에 충분한 범위에서 상기 승강 핀들에 의해 작용되는 힘을 감지한다.

Description

플라즈마 반응기를 위한 스마트 승강-핀 메카니즘을 구비하는 정전기 척{ELECTROSTATIC CHUCK WITH SMART LIFT-PIN MECHANISM FOR PLASMA REACTOR}
플라즈마 반응기 챔버내에서 정전기 척(chuck)을 이용하여 반도체 웨이퍼의 플라즈마 프로세싱 동안 반도체 웨이퍼를 그 챔버 내의 웨이퍼 지지 표면상에서 유지할 수 있다. 정전기 척은 웨이퍼가 놓이는 평평한 절연 또는 반(semi)-절연 층에 의해 절연된 평평한 전극 또는 전도성 그리드로 구성된다. 통상적으로, 얇은 승강 핀(lift pin)들이 정전기 척을 통해 상향 연장하여 로봇 장치로부터 그 정전기 척의 위로 웨이퍼를 받으며, 그 다음에 상기 로봇 장치는 회수된다. 이어서, 승강 핀들은 웨이퍼가 웨이퍼 지지 표면상에 놓일 때까지 하향 후퇴된다. 통상적으로 챔버 벽 접지에 대하여, 큰 D.C. 척킹(chucking) 전압이 전극에 인가된다. 통상적으로, 웨이퍼는 플라즈마를 통해 간접적으로 접지와 연관(referenced)된다. 플라즈마가 "온(on)"인 상태에서 큰 DC 전압을 정전기 척 전극에 인가하면 웨이퍼를 정위치에서 유지하는 큰 정전기력이 발생된다. 이어서, 웨이퍼의 플라즈마 프로세싱이 실시되고, 그 후에 승강 핀들이 상향 연장하여 웨이퍼를 정전기 척으로부터 로봇 장치까지 들어올림으로써 웨이퍼를 챔버로부터 제거한다. 높은 생산성을 위해, 승강 핀들은 그들의 하향 후퇴 및 상향 연장 이동들 동안에 비교적 고속으로 이동된다. 인가된 D.C. 척킹 전압의 제거가 척킹되고 있는 웨이퍼와 정전기 척의 표면 사이의 전위차를 반드시 제거하지는 않는다. 잔류 전하가 남아 있을 수 있으며, 그에 따라 웨이퍼와 척 사이에 잔류하는 인력이 발생할 수 있다. 승강 핀들의 상향 연장 동안 그 승강 핀들의 속도 및 그 잔류하는 인력의 크기에 따라, 웨이퍼가 파손될 수 있다.
플라즈마가 "온" 상태일 때 핀들을 승강시키면 척으로부터 웨이퍼의 분리에 의해 유발되는 전류를 위한 방전 경로가 제공되나, 만약 잔류하는 인력이 크다면, 웨이퍼가 역시 파손될 수 있다.
일부 종래 기술의 방법들은 일정한 압력으로 정전기 척의 표면에 전달되는 (헬륨과 같은) 열 전달 가스의 가스 유량(flow rate)을 잔류 척킹력의 척도(measure)로서 이용한다. 웨이퍼의 일부 부분이 "디척킹(dechuck)"되어 열 전달 가스가 높은 유량으로 누출되게 하는 한편, 웨이퍼의 다른 부분은 척킹된 상태로 유지되고 승강 핀들의 후속하는 상향 이동 시에 파손될 수 있을 때, 이러한 방법은 일반적으로 실패한다.
현재는, 웨이퍼의 파괴에 앞서서 그러한 오류의 발생을 탐지하는 방법이 없다.
관련된 문제점은, 챔버내에서의 플라즈마 프로세싱의 시작에 앞서서 웨이퍼가 웨이퍼 지지 표면에 확실하게 척킹되지 못한다면 (과다한 가열 또는 적절치 못한 온도 제어로 인해) 프로세스 실패가 발생될 수 있다는 것이다. 현재는, 정전기 척의 표면으로 (헬륨과 같은) 열 전달 가스를 일정한 압력으로 공급하고 가스 유량을 잔류 척킹력의 척도로서 모니터링하지 않고는 웨이퍼의 플라스마 프로세싱의 시작에 앞서서 척킹력의 적절함을 확인할 방법이 없다. 그러나, 일부 응용들의 경우에, 특히 높은-바이어스-전압에서, 열 전달 가스들이 전기적으로 차단(break down)되어, 웨이퍼를 디척킹시키게 하고 웨이퍼 및 정전기 척을 잠재적으로 파괴할 수 있다. 프로세싱에 앞서서 척킹력의 적절함을 확인하는 방법이 요구된다.
공작물을 프로세싱하기 위해 반응기내에서 사용하기 위한 승강 핀 조립체가 승강 방향과 일반적으로 평행하게 연장하는 다수의 승강 핀들을 포함하며, 상기 다수의 승강 핀들의 각각은 공작물을 지지하기 위한 상단부 및 하단부를 구비한다. 승강 테이블은 상기 핀들의 하단부들과 마주하며, 승강 방향과 일반적으로 평행한 방향으로 병진운동할 수 있다. 작은 힘 탐지기는, 척킹된 웨이퍼를 나타낼 수 있을 정도로 충분히 크고 웨이퍼의 디척킹을 방지할 수 있을 정도로 충분히 작은, 승강 핀들에 의해 작용되는 힘을 감지한다. 큰 힘 탐지기는 웨이퍼를 디척킹하기에 충분한 범위의, 승강 핀들에 의해 작용되는 힘을 감지한다.
도 1은 본 발명을 구현한 승강 핀 조립체를 도시한 도면이다.
도 2는 도 1의 승강 핀 조립체를 포함하는 플라즈마 반응기를 도시한 도면이다.
도 3은 도 2의 플라즈마 반응기에 대한 웨이퍼 척킹 및 디척킹 프로세스를 도시한 도면이다.
도 1을 참조하면, 정전기 척(ESC)(8)은 얇고 평평한 척킹 전극 또는 전도성 그리드(12)를 캡슐화(encapsulating)하는 절연 또는 반-절연 층(10)으로 구성되며, 절연 표면의 상부 표면(10a)은 웨이퍼 지지 표면을 형성한다. 절연 층(10)은 예를 들어, 알루미늄 질화물일 수 있으며, ESC 베이스(base; 14)상에서 지지된다. D.C. 척킹 전압 공급원 및 제어부(16)가 척킹 전극(12)에 결합된다. ESC(8)내의 홀들(20)을 통해 연장하는 적어도 3개의 승강 핀들(18)이 축방향으로 병진운동가능한 승강 테이블(22) 상에서 지지된다. 각 승강 핀(18)에 대해서, 승강 테이블(22)은 파스너(fastener; 26b)에 의해서 승강 테이블 상에 일 단부(26a)가 유지되는 탄성 스프링 플레이트(26)에 의해 덮여진 리세스(recess; 24)를 구비한다. 스프링 플레이트(26)의 타단부(26c)는 자유롭게 축방향으로 편향된다. 선택적으로, 승강 핀(18)의 하단부상의 절연 (예를 들어, 세라믹) 장착구(fitting)(28)가 승강 테이블(22)상에서 승강 핀(18)을 지지한다. 승강 테이블(22)은 승강 서보 모터 조립체(32)에 의해 축방향으로 병진운동되는 축방향 피스톤(30)의 상단부로부터 외팔보 형태로 지지된다(cantilevered). 벨로우즈(34)는 승강 테이블(22)에 의한 상승 및 하강 이동을 허용하면서 챔버 내부의 진공 밀봉을 유지한다.
통상적인 변형 게이지(strain gauge; 36)가 스프링 플레이트의 하단측과 마주하는 리세스(24) 내부에서 승강 테이블(22)의 표면상에 체결된다. 스프링 플레이트(26)의 하단에 체결된 풋(foot; 38)은 변형 게이지(36)와 마주하고 그 위에 놓인다. 리세스(24)내에서 승강 테이블(22)의 표면에 체결된 통상적인 광학적 탐지기(40)가 근접도 탐지기로서 작용하여, 스프링 플레이트(26)의 자유 단부(26c)의 축방향 편향들을 감지한다. 각 승강 핀(18)이 웨이퍼(42)를 밀어냄에 따라, 승강 핀(18)에 의해 부여되는 하향력이 스프링 플레이트(26)를 편향시키며, 그에 따라 스프링 플레이트 자유 단부(26c)가 하향으로 이동됨으로써 풋(38)은 계속적으로 증가하는 힘으로 변형 게이지(36)를 밀어낸다. 근접도 탐지기(40)는 스프링 플레이트 자유 단부(26c)의 결과적인 하향 편향을 측정한다. 만약, 척킹 전압이 전극(12)에 인가되면서 승강 핀(18)이 웨이퍼(42)를 밀어낸다면, 그때에는 웨이퍼가 각 승강 핀(18)의 상향 이동에 대해 저항할 것이고, 그에 따라 도 1 에 도시된 바와 같이 웨이퍼(42)는 그것의 둘레 주변이 상향으로 벤딩(bend)되게 한다. 이러한 방식으로 웨이퍼를 편향시키는데 필요한 힘은 변형 게이지(36)에 의해, 세라믹 승강 핀 홀더(28)에 의해 그 변형 게이지(36)에 대해 작용되는 압력으로부터 측정된다. 스프링 플레이트에 의한 결과적인 하향 편향의 크기는 근접도 탐지기(40)에 의해 측정된다. 변형 게이지(36)는 척킹 전극으로부터 D.C. 척킹 전압의 제거 후에 웨이퍼를 디척킹시키기에 충분한 힘들을 측정할 수 있는 큰 힘 센서로서 작용한다. 스프링 플레이트와 근접도 센서의 조합은 웨이퍼의 디척킹을 방지할 수 있을 정도로 충분히 작은 "서브-디-척킹(sub-de-chucking)" 힘(최대로는, 웨이퍼 중량의 수 배, 또는 300 mm 직경 Si 웨이퍼의 경우에 수백 그램 상당의 힘)을 감지하기 위한 고분해능의 작은 힘 센서로서 작용한다. 그러한 센서는 척킹력의 존재를 나타내기에 충분하지만 웨이퍼의 디척킹을 방지하는, 승강 핀들에 의해 부여되는 승강력을 측정하기 위해 유용하다.
대안적인 실시예들에서, 위에서 설명된 타입들 이외의 다른 타입들의 센서들이 작은 힘 센서 및 큰 힘 센서로서 기능을 할 수 있다. 그러한 센서가 각 승강 핀(18)에 대해 제공될 수 있다. 그러한 센서들은 승강 핀(18)내에 있거나 또는 승강 핀(18)에 결합된다. 또한, 단일 센서가 주어진 승강 핀(18)에 대해 큰 힘 센서 및 작은 힘 센서 모두로서 기능할 수 있다.
다른 대안적인 실시예에서, 승강 핀들(18) 중 적어도 하나 또는 모두가 반도체 물질(예를 들어, 결정체 또는 다결정 실리콘 또는 게르마늄) 또는 전도체(예를 들어, 알루미늄)로 형성될 수 있다. 그러한 경우에, 스위치(19)가 제공될 수 있으며, 그러한 스위치(19)를 이용하여 전도성 또는 반-전도성(semi-conductive) 승강 핀(들)(18)이 선택된 시간들에서 접지 전위(ground potential), 전기적 플로팅(floating) 전위, ESC 전극(12) 중 어느 하나에 연결될 수 있다. 웨이퍼 척킹 및 웨이퍼 디척킹 동작들 동안에 스위치(19)를 이용하여 D.C. 웨이퍼 전압의 제어를 향상시킬 수 있다. 웨이퍼의 플라즈마 프로세싱 동안에, 승강 핀(들)(18)이 플로팅 전위에 연결되도록 스위치(19)가 셋팅될 것이다.
도 2는 도 1의 장치를 이용하기 위한 시스템을 포함하는 플라즈마 반응기를 도시한다. 반응기는 챔버(50), 프로세스 가스 공급원(52), 및 가스 분배기(54), 그리고 임피던스 매칭 회로(58) 및 D.C.-차단 커패시터(60)를 통해 (예를 들어) ESC 전극(12)에 결합된 RF 전력 발생기(56)를 포함한다. 프로세서(62)는 변형 게이지(36)로부터의 힘 측정치들 및 근접도 센서(40)로부터의 편향 측정치들을 이용하여 실제 힘 데이터 및 편향 데이터를 제공한다. 프로세스 제어부(64)는 힘 데이터 및 편향 데이터를 이용하여, 제어부(16)에 의해 전달되는 D.C. 척 전압을 제어하고 승강 조립체 모터 제어부(66)를 통해 승강 테이블 모터 조립체(32)를 제어한다.
프로세스 제어부(64)의 동작이 도 3에 도시되어 있다. 먼저, 도 3의 블록(70)의 단계에서, 제어부(64)는 승강 핀들(18)을 웨이퍼 지지 표면의 위로 연장시켜 로봇 아암(도시 안됨)으로부터 웨이퍼를 받게 한다. 이어서, 제어부(64)는 승강 핀들(18)을 후퇴(retract)시켜 웨이퍼를 ESC(8)의 웨이퍼 지지 표면상에 놓는다(블록 72). 가스가 주입되고 RF 전력이 인가되어 플라즈마를 개시(initiate)하며, 이는 웨이퍼를 기준 접지에 간접적으로 연관시킨다(reference). 바람직하게, 웨이퍼 척킹을 위한 플라즈마를 개시하기 위해 플라즈마 소오스(source) 전력이 이용되나, 바이어스 전력도 또한 이용될 수 있다. 웨이퍼 척킹을 위한 플라즈마를 개시하기 위해 바이어스 전력이 이용된다면, 그로 인해 바람직하게 낮은 RF 전압이 인가된다. 이어서, 제어부(64)는 기준 접지(통상적으로 챔버 벽)와 관련하여 ESC 전극(12)에 D.C. 척킹 전압이 인가되게 한다(블록 74). (대안적으로는, 전압이 먼저 인가되고 이어서 RF 전력이 인가되어 플라즈마를 개시한다.) 통상적으로 짧은 시간 지연 후에는, 이어서 승강 핀들(18)을 척킹된 웨이퍼에 대해서 연장시키고 그 다음에 그 승강 핀들을 작은 거리(예를 들어, 0.2 - 약 1mm) 만큼 연장시켜 웨이퍼를 0.2 - 약 1mm 만큼 변형시킴으로써 웨이퍼 척킹을 테스트한다(블록 76). 이어서, 데이터 프로세서(62)는 근접도 탐지기(40)에 의해 감지된 스프링 플레이트(26)의 편향을 이용하여, 승강 핀 힘이 적어도 작은 임계 힘(threshold force)(예를 들어, 몇백 그램 상당의 힘)인지 여부를 결정한다. 만약, 그 힘이 임계 레벨보다 크다면(블록(77)의 '예' 브렌치), 웨이퍼가 성공적으로 척킹된 것이고, 프로세스 제어부(64)는 승강 핀들을 웨이퍼로부터 후퇴시키고(블록 78), 웨이퍼의 플라즈마 프로세싱이 실시된다(블록 80). (대안적으로, 승강 핀들을 정위치에 유지하고 힘을 계속적으로 모니터링함으로써 웨이퍼 척킹을 계속적으로 테스트할 수 있다.) 그렇지 않다면(블록(77)의 '아니오' 브렌치), 경보가 디스플레이되거나 및/또는 척킹 프로세싱이 반복된다. 웨이퍼 프로세싱 후에, 제어부(64)는 척킹 전압을 턴오프(turn off)시키거나(블록 82) "디척킹" 전압으로 셋팅할 수 있다. 이러한 "디척킹" 전압은 제로(zero) 볼트일 수 있거나, 또는 표면들상의 잔류 전하에 의해 유발되는 웨이퍼와 척 표면 사이의 잔류 전기장을 최소화하도록 선택되는 비-제로(non-zero) 값으로 셋팅될 수 있다. 바람직하게, 플라즈마는 디척킹 동작 동안에 "온" 상태로 되어 웨이퍼로부터의 방전 경로를 제공한다. 바람직하게, 플라즈마 소오스 전력이 디척킹 동작을 위해 인가되나, 바이어스 전력이 이용될 수 있다. 바람직하게, 웨이퍼상의 RF 전압이 디척킹 동작 동안에 낮은 값을 갖는다. 시간 지연 후에는, 이어서 제어부(64)가 승강 핀들(18)을 상향 연장시켜 웨이퍼와 접촉시키며, 이어서 승강 핀들이 보다 큰 거리(예를 들어, 3mm)로 이동하여 그러한 보다 큰 거리 만큼 웨이퍼를 편향시킬 때까지 계속해서 더 연장시킨다(블록 84). 힘 센서의 출력을 모니터링하여(블록 86), 승강 핀들이 웨이퍼와 접촉한 후에 보다 큰 거리(3 mm)로 이동할 때까지 또는 그 이전에, 잔류 전하 힘이 합류함에 따라 증가하는 힘 센서 출력이 최종적으로 제로(성공적인 디척킹을 나타낸다)로 되돌아가도록 보장한다. 만약, 승강 핀들이 보다 큰 거리(3 mm)로 이동한 후에 감지된 힘이 대략적으로 제로에 도달한다면, 웨이퍼는 성공적으로 디척킹된 것이고(블록(88)의 '예' 브렌치), 제어부(64)는 제거를 위한 로봇 메카니즘으로 그 웨이퍼를 복귀시키는데 필요한 전체(full) 거리(예를 들어, 약 25 mm)로 승강 핀들을 연장시킨다(블록 92). 만약, 이러한 시점에서 감지된 힘이 (웨이퍼의 중량은 무시하고) 제로로 되돌아가지 못하면(블록(88)의 '아니오' 브렌치), 척킹력 또는 전압이 완전히 제거될 수 있을 때까지 웨이퍼 제거 프로세스를 중단(웨이퍼 파손을 방지하기 위함)하기 위해 경보가 울린다(블록 90).
대안적인 실시예에서, 웨이퍼 프로세싱 후에, 제어부(64)는 척킹 전압을 턴오프시키거나(블록 82), 또는 초기 "디척킹" 전압으로 셋팅할 수 있다. 바람직하게, 플라즈마는 디척킹 동작 동안에 "온" 상태로 되어 웨이퍼로부터의 방전 경로를 제공한다. 바람직하게, 플라즈마 소오스 전력이 디척킹 동작을 위해 인가되나, 바이어스 전력이 이용될 수 있다. 바람직하게, 웨이퍼상의 RF 전압이 디척킹 동작 동안에 낮은 값을 갖는다. 시간 지연 후에, 제어부(64)는 이어서 승강 핀들(18)을 상향 연장시켜 웨이퍼와 접촉시키며, 이어서 승강 핀들이 보다 큰 거리(예를 들어, 3mm)로 이동하여 그러한 보다 큰 거리 만큼 웨이퍼를 편향시킬 때까지 계속해서 더 연장시킨다(블록 84). 힘 센서의 출력을 모니터링한다(블록 86). 이러한 대안적인 실시예에 따라, 블록(86)의 모니터링 단계 동안에, 그렇지 않을 경우 감지된 힘이 미리정해진 최소치까지 감소하는 것을 막을 임의의 잔류 전하를 보상하기 위해 이상적인 디척킹 전압을 찾기 위해서 "디척킹" 전압이 "디척킹" 전압들의 범위에 걸쳐 스텝핑되거나 램핑된다(stepped or ramped). 힘 센서 출력이 최종적으로 최소치까지 감소되거나 제로로 되돌아 갔을 때(성공적인 디척킹을 나타낸다 - 블록(88)의 '예' 브렌치), 디척킹 전압이 제로 볼트로 셋팅되고, 제어부(64)는 제거를 위한 로봇 메카니즘으로 그 웨이퍼를 복귀시키는데 필요한 전체 거리(예를 들어, 약 25 mm)로 승강 핀들을 연장시킨다(블록 92). 만약, 이러한 시점에서 감지된 힘이 제로로 되돌아가지 못하면, 이어서 척킹력 또는 전압이 완전히 제거될 수 있을 때까지 웨이퍼 제거 프로세스를 중단(웨이퍼 파손을 방지하기 위함)하기 위해 경보가 울린다(블록 90). 그러나, 이러한 대안적인 실시예에서 블록(86)의 모니터링 단계 동안에 디척킹 전압이 램핑되기 때문에, 블록(90)의 경보에 의지할 확률은 감소된다.
바람직한 실시예에서, 제어부(64)는 승강 핀들의 상향 이동을 계속적으로 제어하는 피드백 루프(feedback loop)로서 작용한다. 만약, 승강 핀들이 웨이퍼를 큰 거리(예를 들어, 3 mm) 만큼 밀어낼 때까지 핀 힘이 최소 값(예를 들어, 제로)으로 떨어지지 않는다면, 이어서 제어부(64)는 즉각적으로 핀 이동을 중단시켜 웨이퍼의 파손을 방지한다. 이는, 승강 핀 힘이 요구되는 승강 핀 이동 거리내에서 최소 레벨로 떨어진다면, 승강 핀 상향 이동이 계속될 수 있게 허용한다.
선택적으로, 전도성 또는 반-전도성 승강 핀들을 이용하여 웨이퍼-척 커패시턴스의 방전을 도울 수 있고 디척킹 동작을 촉진시킬 수 있다. 핀들은 기준 접지에 직접 연결될 수 있거나 또는 격리된 정전기 척 전극에 직접 연결될 수 있다. 대안적으로 및 바람직하게, 디척킹 또는 척킹하는 때에만 핀들은 기준 접지에 또는 격리된 정전기 척 전극에 연결되도록 스위칭될 수 있으나, 정상 프로세싱에서는 격리되어 유지될 수 있다.
경보 상황의 경우에, 제어부는 선택적으로 디척킹 동작을 재-시도할 수 있다.
위에서는 따라서 두 개의 힘 센서들, 즉, 웨이퍼를 디척킹시키지 않고도 성공적인 척킹을 확인하도록 웨이퍼에 대해 작용할 수 있는 작은 힘(예를 들어, 몇백 그램)을 측정할 수 있는 하나의 센서, 그리고 웨이퍼를 디-척킹시키기 위해 잔류 전하-유도된 힘을 극복하기에 충분한 큰 힘(예를 들어, 약 50 kg)을 측정할 수 있는 하나의 센서를 필요로 한다. 도 1의 실시예에서, 작은 힘 센서는 스프링 플레이트 및 근접도 센서인데 반해, 큰 힘 센서는 변형 게이지 센서이다. 그러나, 양 센서들이 그들의 각 범위들내에서 충분한 정확도로 모두 동작한다면, 양 센서들은 동일한 타입의 센서일 수 있다. 또한, 만약 단일 센서가 양 힘 레벨들 모두를 감당하기에 충분하다면(작은 힘의 경우에 200 그램이고 큰 힘의 경우에 50 kg), 따라서 2개의 센서들보다는 오히려 단일 센서를 사용하여 전술한 절차가 실행될 수 있다.
위의 설명은 단일-전극(단일극성; monopolar) 척의 예를 설명하였지만, 장치 및 방법은 양극성 또는 다극성 척들에도 또한 적용될 수 있다.
바람직한 실시예들을 특별히 참조하여 본 발명을 상세히 설명하였지만, 본 발명의 진정한 사상 및 범위를 벗어나지 않고 본 발명의 변형들 및 수정들이 이루어질 수 있음이 이해된다.

Claims (20)

  1. 공작물을 프로세싱하기 위해 반응기내에서 사용하기 위한 승강 핀 조립체(lift pin assembly)로서, 상기 승강 핀 조립체는,
    승강 방향과 일반적으로 평행하게 연장하는 다수의 승강 핀들 ― 상기 다수의 승강 핀들 각각은 공작물을 지지하기 위한 상단부 및 하단부를 구비함 ―;
    상기 승강 방향과 일반적으로 평행한 방향으로 병진운동할 수 있는 승강 테이블; 및
    상기 승강 핀들 중 개별적인 제 1 승강 핀을 위한 제 1 승강 핀 힘 탐지기를 포함하고,
    상기 제 1 승강 핀 힘 탐지기가:
    (a) 상기 승강 테이블에 부착된 일 단부 및 대향 단부를 구비하고, 상기 승강 핀들 중 상기 제 1 승강 핀의 하단부를 지지하는 탄성 플레이트;
    (b) 척킹된 웨이퍼를 나타낼 수 있고 웨이퍼의 디척킹을 방지할 수 있는, 상기 제 1 승강 핀에 의해 작용되는 힘을 감지하기 위해서 상기 탄성 플레이트의 편향에 응답하는 작은 힘 탐지기; 및
    (c) 상기 웨이퍼를 디척킹하기 위한 범위에서 상기 제 1 승강 핀에 의해 상기 탄성 플레이트에 작용되는 힘에 응답하는 큰 힘 탐지기를 포함하는,
    승강 핀 조립체.
  2. 제 1 항에 있어서,
    상기 작은 힘 탐지기가 근접도 탐지기를 포함하는,
    승강 핀 조립체.
  3. 제 1 항에 있어서,
    상기 승강 방향을 따라 상기 승강 테이블을 상승 및 하강시키기 위한 승강 모터를 더 포함하는,
    승강 핀 조립체.
  4. 제 2 항에 있어서,
    상기 근접도 탐지기 및 상기 큰 힘 탐지기의 출력들을 위치 값 및 힘 값으로 각각 변환하기 위한 데이터 프로세서를 더 포함하는,
    승강 핀 조립체.
  5. 제 2 항에 있어서,
    상기 탄성 플레이트의 상기 대향 단부가 부착되지 않고, 그리고 상기 탄성 플레이트가 상기 일 단부로부터 상기 부착되지 않은 대향 단부까지 상기 승강 방향을 가로지르는 방향으로 연장하고, 그리고 상기 근접도 탐지기는 상기 탄성 플레이트의 상기 부착되지 않은 대향 단부의 위치를 탐지하도록 정렬되는,
    승강 핀 조립체.
  6. 제 5 항에 있어서,
    상기 하나의 승강 핀의 하단부가 상기 탄성 플레이트의 상기 일 단부와 상기 부착되지 않은 대향 단부 사이에 있는 상기 탄성 플레이트의 중간 영역과 정렬되는,
    승강 핀 조립체.
  7. 제 6 항에 있어서,
    상기 큰 힘 탐지기가, 상기 탄성 플레이트의 상기 중간 부분의 아래쪽에 놓이고 상기 하나의 승강 핀의 상기 하단부와 정합되는(registration with) 힘 센서를 포함하는,
    승강 핀 조립체.
  8. 제 1 항에 있어서,
    상기 승강 핀들 중 제 2 승강 핀을 위한 제 2 승강 핀 힘 탐지기를 더 포함하고, 제 2 승강 핀 힘 탐지기가:
    (a) 상기 승강 테이블에 부착된 일 단부 및 대향 단부를 구비하고, 상기 승강 핀들 중 제 2 승강 핀의 하단부를 지지하는 탄성 플레이트;
    (b) 척킹된 웨이퍼를 나타낼 수 있고 웨이퍼의 디척킹을 방지할 수 있는, 상기 제 2 승강 핀에 의해 작용되는 힘을 감지하기 위해서 상기 탄성 플레이트의 위치에 응답하는 작은 힘 탐지기; 및
    (c) 상기 웨이퍼를 디척킹하기 위한 범위에서 상기 제 2 승강 핀에 의해 상기 탄성 플레이트에 작용되는 힘에 응답하는 큰 힘 탐지기를 포함하는,
    승강 핀 조립체.
  9. 플라즈마 반응기내의 공작물 지지부로서,
    웨이퍼 지지 평면을 정의하는(defining) 웨이퍼 지지 표면을 가지는 절연 또는 반-절연 층;
    상기 절연 또는 반-절연 층 내의 웨이퍼 척킹 전극;
    상기 웨이퍼 지지 평면을 가로지르는 승강 방향으로 상기 절연 또는 반-절연 층을 통해 연장하는 다수의 승강 핀 홀들;
    상기 다수의 승강 핀 홀들을 통해 연장하는 다수의 승강 핀들 ― 상기 다수의 승강 핀들 각각은 서로 대향하는 상단부 및 하단부를 구비하고, 상기 승강 방향을 따라 병진운동할 수 있으며, 상기 상단부는 상기 웨이퍼 지지 평면의 위에서 공작물을 지지함 ―;
    상기 승강 방향과 일반적으로 평행한 방향으로 병진운동할 수 있는 승강 테이블; 및
    상기 승강 핀들 중 각각의 승강 핀들을 위한 각각의 승강 핀 힘 탐지기들을 포함하고,
    상기 각각의 승강 핀 힘 탐지기들 각각이:
    (a) 상기 승강 테이블에 부착된 일 단부 및 대향 단부를 구비하고, 상기 승강 핀들 중 각각의 승강 핀의 하단부를 지지하는 탄성 플레이트;
    (b) 척킹된 웨이퍼를 나타낼 수 있고 웨이퍼의 디척킹을 방지할 수 있는, 상기 각각의 승강 핀에 의해 작용되는 힘을 감지하기 위해서 상기 탄성 플레이트의 위치에 응답하는 작은 힘 탐지기; 및
    (c) 상기 웨이퍼를 디척킹하기 위한 범위에서 상기 각각의 승강 핀에 의해 상기 탄성 플레이트에 작용되는 힘에 응답하는 큰 힘 탐지기를 포함하는,
    공작물 지지부.
  10. 제 9 항에 있어서,
    상기 작은 힘 탐지기가 근접도 탐지기를 포함하는,
    공작물 지지부.
  11. 제 9 항에 있어서,
    상기 척킹 전극에 결합된 D.C. 척킹 전압 소오스를 더 포함하는,
    공작물 지지부.
  12. 제 9 항에 있어서,
    상기 승강 방향을 따라 상기 승강 테이블을 상승 및 하강시키기 위한 승강 모터를 더 포함하는,
    공작물 지지부.
  13. 제 9 항에 있어서,
    상기 작은 힘 탐지기 및 상기 큰 힘 탐지기의 출력들을 각각의 힘 값들로 변환하기 위한 데이터 프로세서를 더 포함하는,
    공작물 지지부.
  14. 제 10 항에 있어서,
    상기 탄성 플레이트의 상기 대향 단부가 부착되지 않고, 상기 탄성 플레이트가 상기 일 단부로부터 상기 부착되지 않은 대향 단부까지 상기 승강 방향을 가로지르는 방향으로 연장하고, 그리고 상기 근접도 탐지기는 상기 탄성 플레이트의 상기 부착되지 않은 대향 단부의 위치를 탐지하도록 정렬되는,
    공작물 지지부.
  15. 제 14 항에 있어서,
    상기 하나의 승강 핀의 하단부가 상기 탄성 플레이트의 상기 일 단부와 상기 부착되지 않은 대향 단부 사이에 있는 상기 탄성 플레이트의 중간 영역과 정렬되는,
    공작물 지지부.
  16. 제 9 항에 있어서,
    상기 각각의 승강 핀 힘 탐지기들 각각에 따로따로 결합된 프로세서를 더 포함하는,
    공작물 지지부.
  17. 제 9 항에 있어서,
    상기 큰 힘 탐지기 및 상기 작은 힘 탐지기가 상기 탄성 플레이트의 중간 부분 및 상기 탄성 플레이트의 상기 대향 단부에 각각 응답하는,
    공작물 지지부.
  18. 제 9 항에 있어서,
    상기 승강 핀들 중 적어도 하나가 반-전도성 또는 전도성 중 어느 하나인 물질로 형성되는,
    공작물 지지부.
  19. 제 18 항에 있어서,
    상기 승강 핀들 중 적어도 하나에 결합되는 콘택 스위치를 더 포함하며,
    상기 콘택 스위치는 (a) 접지 전위, (b) 상기 웨이퍼 척킹 전극, (c) 플로팅 전위 또는 개방 상태(open state)인 콘택들 중 적어도 둘을 갖는,
    공작물 지지부.
  20. 승강 테이블에 의해 지지되는 승강 핀들의 세트 및 웨이퍼 척킹 전극을 포함하는 웨이퍼 지지부를 동작하는 방법으로서, 상기 방법은,
    웨이퍼가 웨이퍼 지지부상에 놓인 후에 그리고 웨이퍼를 프로세싱하기에 앞서서, 웨이퍼를 승강 핀들과 접촉시키고, 이어서 상기 승강 핀들 중 하나의 승강 핀의 하단부에서 결합된 작은 힘 센서를 통해 공칭(nominal) 정전기 척킹력의 존재를 확인하면서, 척킹되었다면 웨이퍼의 디척킹을 방지하기 위해 상기 승강 핀들을 상승시킴으로써 상기 웨이퍼가 성공적으로 척킹되었는지를 확인하는 단계; 및
    웨이퍼가 프로세싱된 후에, 상기 승강 핀들이 미리정해진 거리 만큼 상기 웨이퍼를 상승시키기 전에 상기 승강 핀들에 의해 상기 승강 테이블에 대해 작용되는 힘이 최소로 또는 제로로 떨어졌는지를 큰 힘 센서를 통해 결정하면서, 상기 승강 핀들을 통해 상기 웨이퍼를 상기 웨이퍼 지지부로부터 상승시키는 단계를 포함하며,
    상기 미리정해진 거리는 정전기 척킹력이 제거된 경우에는 상기 웨이퍼가 디척킹될 수 있는 범위에 있지만 상기 정전기 척킹력이 제거되지 않은 경우에는 웨이퍼 파손이 방지될 수 있는 범위에 있는,
    웨이퍼 지지부 동작 방법.
KR1020077023423A 2005-04-26 2006-04-26 플라즈마 반응기를 위한 스마트 승강-핀 메카니즘을구비하는 정전기 척 KR101300689B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/115,951 2005-04-26
US11/115,951 US7292428B2 (en) 2005-04-26 2005-04-26 Electrostatic chuck with smart lift-pin mechanism for a plasma reactor
PCT/US2006/015971 WO2006116577A2 (en) 2005-04-26 2006-04-26 Electrostatic chuck with smart lift-pin mechanism for plasma reactor

Publications (2)

Publication Number Publication Date
KR20070122481A KR20070122481A (ko) 2007-12-31
KR101300689B1 true KR101300689B1 (ko) 2013-08-26

Family

ID=37186609

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077023423A KR101300689B1 (ko) 2005-04-26 2006-04-26 플라즈마 반응기를 위한 스마트 승강-핀 메카니즘을구비하는 정전기 척

Country Status (5)

Country Link
US (1) US7292428B2 (ko)
JP (1) JP5027114B2 (ko)
KR (1) KR101300689B1 (ko)
CN (1) CN101189772B (ko)
WO (1) WO2006116577A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180075397A (ko) * 2016-12-26 2018-07-04 도쿄엘렉트론가부시키가이샤 계측 방법, 제전 방법 및 플라즈마 처리 장치

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100653707B1 (ko) * 2004-10-21 2006-12-04 삼성전자주식회사 플라즈마 처리장치의 플라즈마 처리방법
WO2007043528A1 (ja) * 2005-10-12 2007-04-19 Matsushita Electric Industrial Co., Ltd. プラズマ処理装置、プラズマ処理方法、及びトレイ
JP4580327B2 (ja) * 2005-11-21 2010-11-10 東京エレクトロン株式会社 被処理体の取り出し方法及びプログラム記憶媒体並びに載置機構
JP4790458B2 (ja) * 2006-03-22 2011-10-12 東京エレクトロン株式会社 プラズマ処理装置
US20090186560A1 (en) * 2006-05-02 2009-07-23 Nxp B.V. Wafer de-chucking
KR20080040342A (ko) * 2006-11-03 2008-05-08 주식회사 에이디피엔지니어링 정전력 측정장치 및 이를 이용한 정전력 측정방법
US20080108154A1 (en) * 2006-11-03 2008-05-08 Hyoung Kyu Son Apparatus and method for measuring chuck attachment force
JP4301299B2 (ja) * 2007-01-31 2009-07-22 日新イオン機器株式会社 基板保持装置および基板押し上げ状態判定方法
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
US8256754B2 (en) * 2007-12-12 2012-09-04 Applied Materials, Inc. Lift pin for substrate processing
US7558045B1 (en) 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
TW201005825A (en) * 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
US20090314211A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Big foot lift pin
US8416555B2 (en) 2008-07-14 2013-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. System for securely dechucking wafers
US7995323B2 (en) * 2008-07-14 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for securely dechucking wafers
US8000081B2 (en) * 2008-07-14 2011-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for safely dechucking wafers
WO2010009050A2 (en) * 2008-07-15 2010-01-21 Applied Materials, Inc. Substrate lift pin sensor
US8270142B2 (en) * 2008-12-10 2012-09-18 Axcelis Technologies, Inc. De-clamping wafers from an electrostatic chuck
US8435906B2 (en) * 2009-01-28 2013-05-07 Applied Materials, Inc. Methods for forming conformal oxide layers on semiconductor devices
US8363378B2 (en) * 2009-02-17 2013-01-29 Intevac, Inc. Method for optimized removal of wafer from electrostatic chuck
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US9108322B2 (en) * 2013-04-29 2015-08-18 Varian Semiconductor Equipment Associates, Inc. Force sensing system for substrate lifting apparatus
CN104752303A (zh) * 2013-12-31 2015-07-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种举升装置、反应腔室及等离子体加工设备
US10192770B2 (en) 2014-10-03 2019-01-29 Applied Materials, Inc. Spring-loaded pins for susceptor assembly and processing methods using same
US10879046B2 (en) 2015-09-11 2020-12-29 Applied Materials, Inc. Substrate support with real time force and film stress control
US9824941B2 (en) * 2015-11-17 2017-11-21 Lam Research Corporation Systems and methods for detection of plasma instability by electrical measurement
JP6505027B2 (ja) 2016-01-04 2019-04-24 株式会社日立ハイテクノロジーズ 試料の離脱方法およびプラズマ処理装置
US11387135B2 (en) * 2016-01-28 2022-07-12 Applied Materials, Inc. Conductive wafer lift pin o-ring gripper with resistor
JP6708358B2 (ja) * 2016-08-03 2020-06-10 株式会社日立ハイテク プラズマ処理装置及び試料の離脱方法
US10460977B2 (en) 2016-09-29 2019-10-29 Lam Research Corporation Lift pin holder with spring retention for substrate processing systems
US10262887B2 (en) * 2016-10-20 2019-04-16 Lam Research Corporation Pin lifter assembly with small gap
US10388558B2 (en) * 2016-12-05 2019-08-20 Tokyo Electron Limited Plasma processing apparatus
CN108807216B (zh) * 2017-04-28 2021-07-13 北京北方华创微电子装备有限公司 粘片检测系统及方法、反应腔室、半导体加工设备
US11183418B2 (en) * 2017-06-01 2021-11-23 Applied Materials, Inc. Two axis goniometer to accomplish fine, permanent, calibration of lift pin hoop orientation
US10242893B2 (en) * 2017-06-20 2019-03-26 Applied Materials, Inc. Method and apparatus for de-chucking a workpiece using a swing voltage sequence
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US11114327B2 (en) 2017-08-29 2021-09-07 Applied Materials, Inc. ESC substrate support with chucking force control
CN108962794B (zh) * 2018-07-20 2020-08-21 北京北方华创微电子装备有限公司 一种升针方法及应用其的顶针升降装置
JP7170449B2 (ja) * 2018-07-30 2022-11-14 東京エレクトロン株式会社 載置台機構、処理装置及び載置台機構の動作方法
US11430688B2 (en) * 2018-09-04 2022-08-30 Lam Research Corporation Two-stage pin lifter for de-chuck operations
JP7038640B2 (ja) * 2018-10-26 2022-03-18 信越化学工業株式会社 ペリクルの剥離方法及びペリクルの剥離装置
DE102018009871A1 (de) * 2018-12-19 2020-06-25 Vat Holding Ag Stifthubvorrichtung mit Zustandsüberwachung
KR20210126540A (ko) * 2019-02-12 2021-10-20 에스피피 테크놀로지스 컴퍼니 리미티드 기판 승강 이상 검출 장치
KR20210002175A (ko) * 2019-06-26 2021-01-07 삼성전자주식회사 센서 모듈 및 이를 구비하는 식각 장치
KR20210006682A (ko) * 2019-07-09 2021-01-19 세메스 주식회사 기판 처리 장치
DE102019007194A1 (de) * 2019-10-16 2021-04-22 Vat Holding Ag Verstellvorrichtung für den Vakuumbereich mit Druckmessfunktionalität
CN112701027A (zh) * 2019-10-22 2021-04-23 夏泰鑫半导体(青岛)有限公司 等离子体处理装置及边缘环的更换方法
DE102019008104A1 (de) * 2019-11-21 2021-05-27 Vat Holding Ag Verfahren zur Überwachung, Positionsbestimmung und Positionierung eines Stiffthubsystems
KR20210063918A (ko) 2019-11-25 2021-06-02 삼성전자주식회사 리프트 장치 및 이를 포함하는 기판 처리 장치
KR102402963B1 (ko) * 2020-09-22 2022-05-30 주식회사 에이치앤이루자 정전척의 척킹력 측정 장치
JP7482749B2 (ja) 2020-10-22 2024-05-14 東京エレクトロン株式会社 リフトピンのコンタクト位置調整方法、リフトピンのコンタクト位置検知方法、および基板載置機構
CN114695234A (zh) * 2020-12-31 2022-07-01 拓荆科技股份有限公司 保护机构及保护晶圆和销的方法
US20220216079A1 (en) * 2021-01-07 2022-07-07 Applied Materials, Inc. Methods and apparatus for wafer detection
USD980884S1 (en) 2021-03-02 2023-03-14 Applied Materials, Inc. Lift pin
CN113488404B (zh) * 2021-05-30 2023-01-13 深圳市嘉伟亿科技有限公司 一种硅片激光退火定位设备及其使用方法
US11764094B2 (en) 2022-02-18 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool and methods of operation

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0951032A (ja) * 1995-05-26 1997-02-18 Nissin Electric Co Ltd 真空処理装置及び該装置により目的処理物を得る方法
JPH09148419A (ja) * 1995-11-24 1997-06-06 Nec Corp 静電チャック
US6646857B2 (en) * 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5325261A (en) 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5325216A (en) * 1991-12-23 1994-06-28 Xerox Corporation Raster output scanner with subpixel addressability
US5684669A (en) * 1995-06-07 1997-11-04 Applied Materials, Inc. Method for dechucking a workpiece from an electrostatic chuck
JP3320392B2 (ja) 1993-06-24 2002-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP3174438B2 (ja) 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
US5491603A (en) * 1994-04-28 1996-02-13 Applied Materials, Inc. Method of determining a dechucking voltage which nullifies a residual electrostatic force between an electrostatic chuck and a wafer
JP3245369B2 (ja) * 1996-11-20 2002-01-15 東京エレクトロン株式会社 被処理体を静電チャックから離脱する方法及びプラズマ処理装置
US5872694A (en) * 1997-12-23 1999-02-16 Siemens Aktiengesellschaft Method and apparatus for determining wafer warpage for optimized electrostatic chuck clamping voltage
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6430022B2 (en) * 1999-04-19 2002-08-06 Applied Materials, Inc. Method and apparatus for controlling chucking force in an electrostatic
US6307728B1 (en) * 2000-01-21 2001-10-23 Applied Materials, Inc. Method and apparatus for dechucking a workpiece from an electrostatic chuck
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6898064B1 (en) * 2001-08-29 2005-05-24 Lsi Logic Corporation System and method for optimizing the electrostatic removal of a workpiece from a chuck
US6938505B2 (en) * 2002-08-13 2005-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber wafer detection
JP4080401B2 (ja) * 2003-09-05 2008-04-23 大日本スクリーン製造株式会社 基板処理装置および基板処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0951032A (ja) * 1995-05-26 1997-02-18 Nissin Electric Co Ltd 真空処理装置及び該装置により目的処理物を得る方法
JPH09148419A (ja) * 1995-11-24 1997-06-06 Nec Corp 静電チャック
US5677824A (en) * 1995-11-24 1997-10-14 Nec Corporation Electrostatic chuck with mechanism for lifting up the peripheral of a substrate
US6646857B2 (en) * 2001-03-30 2003-11-11 Lam Research Corporation Semiconductor wafer lifting device and methods for implementing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180075397A (ko) * 2016-12-26 2018-07-04 도쿄엘렉트론가부시키가이샤 계측 방법, 제전 방법 및 플라즈마 처리 장치
KR102458423B1 (ko) * 2016-12-26 2022-10-25 도쿄엘렉트론가부시키가이샤 계측 방법, 제전 방법 및 플라즈마 처리 장치

Also Published As

Publication number Publication date
WO2006116577A2 (en) 2006-11-02
WO2006116577A3 (en) 2007-10-11
CN101189772B (zh) 2011-07-13
US7292428B2 (en) 2007-11-06
KR20070122481A (ko) 2007-12-31
US20060238953A1 (en) 2006-10-26
JP5027114B2 (ja) 2012-09-19
CN101189772A (zh) 2008-05-28
JP2008539598A (ja) 2008-11-13

Similar Documents

Publication Publication Date Title
KR101300689B1 (ko) 플라즈마 반응기를 위한 스마트 승강-핀 메카니즘을구비하는 정전기 척
JP5166479B2 (ja) 半導体プロセス部品の残留電荷の検出及び除去システム及びその方法
US7813103B2 (en) Time-based wafer de-chucking from an electrostatic chuck having separate RF BIAS and DC chucking electrodes
CN110491756B (zh) 具有闭环夹持力控制的实时监测
US9299539B2 (en) Method and apparatus for measuring wafer bias potential
CN103098195B (zh) 用于利用升降销静电解除卡紧的极区
KR20100094416A (ko) 정전 척으로부터의 웨이퍼의 최적화된 제거 방법
JP5646449B2 (ja) 静電容量感知機能を有する静電チャック組立体及びその動作方法
US8004293B2 (en) Plasma processing chamber with ground member integrity indicator and method for using the same
US6304424B1 (en) Method and apparatus for minimizing plasma destabilization within a semiconductor wafer processing system
JP4153309B2 (ja) デチャックの音響検出及びその装置
US5948986A (en) Monitoring of wafer presence and position in semiconductor processing operations
US5886865A (en) Method and apparatus for predicting failure of an eletrostatic chuck
CN111446199B (zh) 半导体设备的反应腔室及半导体设备
US11387135B2 (en) Conductive wafer lift pin o-ring gripper with resistor
US11315766B2 (en) Plasma processing apparatus and method for measuring thickness of ring member
US20090040682A1 (en) Method of de-chucking wafer using direct voltage and alternating voltage, and apparatus for fabricating semiconductor device using the same
JPH11233601A (ja) 静電吸着装置及びそれを用いた試料処理装置
US8882429B2 (en) Transfer device, processing system, control method of transfer device, and computer-readable storage medium
KR100819078B1 (ko) 정전 척에서 웨이퍼를 디척킹하는 장치 및 방법
CN110610892A (zh) 基片处理装置和基片处理方法
JP2005310945A (ja) 半導体製造装置およびウェハの静電吸着方法・除電方法
US20230420286A1 (en) Substrate processing apparatus and transfer method
KR101810947B1 (ko) 정전 척 및 그를 포함하는 전자 현미경
TW202244608A (zh) 物件台

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee