KR101243997B1 - 응력이 가해진 mos 디바이스 제조 방법 - Google Patents

응력이 가해진 mos 디바이스 제조 방법 Download PDF

Info

Publication number
KR101243997B1
KR101243997B1 KR1020087005314A KR20087005314A KR101243997B1 KR 101243997 B1 KR101243997 B1 KR 101243997B1 KR 1020087005314 A KR1020087005314 A KR 1020087005314A KR 20087005314 A KR20087005314 A KR 20087005314A KR 101243997 B1 KR101243997 B1 KR 101243997B1
Authority
KR
South Korea
Prior art keywords
single crystal
crystal semiconductor
stress
semiconductor substrate
silicon
Prior art date
Application number
KR1020087005314A
Other languages
English (en)
Other versions
KR20080035674A (ko
Inventor
이고르 페이더스
마리오 엠. 페렐라
Original Assignee
글로벌파운드리즈 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 글로벌파운드리즈 인크. filed Critical 글로벌파운드리즈 인크.
Publication of KR20080035674A publication Critical patent/KR20080035674A/ko
Application granted granted Critical
Publication of KR101243997B1 publication Critical patent/KR101243997B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/938Lattice strain control or utilization

Abstract

응력이 가해진 MOS 디바이스(30)를 반도체 기판(36)에 및 그 위에 제조하는 방법이 제공된다. 상기 방법은 상기 반도체 기판(36) 위에 놓인 게이트 전극(66)을 형성하는 단계와 상기 반도체 기판에 제1트렌치(82)와 제2트렌치(84)를 식각 형성하는 단계를 포함하며, 상기 제1트렌치와 제2트렌치는 상기 게이트 전극(66)에 정렬하여 형성된다. 상기 제1트렌치(82)와 제2트렌치(84)에는 응력 유발 물질(90)이 선택적으로 성장되고 전도성 결정 불순물 이온들이 제1트렌치(82)에 소스 영역(92)을 형성하기 위하여 그리고 제2트렌치(84)에 드레인 영역(94)을 형성하기 위하여 이온 주입된다. 기판에 응력이 유발된 채로 유지하기 위하여, 기계적으로 단단한 물질층(96)이 이온 주입 이후에 응력 유발 물질(90) 상에 증착된다.
응력이 가해진 MOS 디바이스, 응력 유발, 영률

Description

응력이 가해진 MOS 디바이스 제조 방법{METHODS FOR FABRICATING A STRESSED MOS DEVICE}
본 발명은 일반적으로 응력이 가해진 MOS 디바이스(stressed MOS device)를 제조하는 방법에 관한 것이며, 더욱 상세하게는 응력이 가해진 MOS 디바이스의 제조와 이러한 디바이스에서 그 응력 및 그 응력으로 인한 향상을 유지하는 방법에 관한 것이다.
근래 집적 회로들(ICs, integrated circuits)의 대부분은 복수 개의 상호 연결된 FET(field effect transister)들을 이용하여 구현되는데, 이는 MOSFET(metal oxide semiconductor field effect transistor) 또는 단순하게 MOS 트랜지스터들이라고도 지칭된다. MOS 트랜지스터는 제어 전극으로서의 게이트 전극과, 서로 이격되어 위치한 소스 전극과 드레인 전극-이들 전극 사이에 전류가 흐른다-을 포함한다. 상기 게이트 전극에 인가된 제어 전압은 소스 전극과 드레인 전극 사이 채널을 통해 흐르는 전류의 흐름을 제어한다.
바이폴라 트랜지스터에 비해 MOS 트랜지스터들은 다수 캐리어 디바이스들이다. MOS 트랜지스터의 이득은, 보통 트랜스컨덕턴스(transconductance, gm)로 정의되며, 트랜지스터 채널 내 다수 캐리어의 이동성에 비례한다. MOS 트랜지스터의 전류 캐리어 용량은 채널의 다수 캐리어의 이동성에 비례한다. P-채널 MOS 트랜지스터에서의 다수 캐리어인 정공(hole)들의 이동성은 채널에 세로방향 압축 응력을 인가함으로써 증가될 수 있다. N-채널 MOS 트랜지스터에서의 다수 캐리어인 전자들의 이동성은 채널에 가로방향 신장 응력을 인가함으로써 증가될 수 있다. 실리콘 MOS 트랜지스터에서 이런 응력들은 트랜지스터의 실리콘 기판에 SiGe와 같은 응력 유발물질을 적절하게 매립함으로써 MOS 트랜지스터의 채널에 인가될 수 있다. 상기 응력들은 SiGe과 호스트(host) 실리콘 물질과의 격자 비정합(lattice mismatch)에 의해 야기된다. 상기 SiGe에서의 고유 응력(intrinsic stress)들은 호스트 기판의 인접 영역들 즉, MOS 트랜지스터의 채널 영역 내로 재분배된다. 안타깝게도 매립형 SiGe 기술에서의 문제 중 하나는 상기 SiGe 층들의 기계적인 안정성이다. 상승된 온도에서, SiGe 층들의 고유 응력은 변위(dislocation) 발생에 의해 완화된다. 응력이 감소하면 응력에 의해 유발된 이동성 증가에 있어서의 감소를 야기하고, 이에 따라 디바이스 성능을 저하시킨다.
따라서, 응력 완화를 방지하는 응력이 가해진 MOS 디바이스들의 제조 방법을 제공하는 것이 바람직하다. 이에 더하여, 본 발명의 다른 바람직한 형상들과 특성들은 첨부된 도면들과 전술한 기술 분야와 배경기술과 관련하여, 이어진 상세한 설명과 청구범위에서 명확화될 것이다.
반도체 기판에 및 그 위에 응력이 가해진 MOS 디바이스를 제조하는 방법이 제공된다. 상기 방법은 상기 반도체 기판 위에 놓인 게이트 전극을 형성하는 단계와 상기 기판에 제1트렌치와 제2트렌치를 식각 형성하는 단계를 포함하여 구성되며, 제1트렌치와 제2트렌치는 게이트 전극에 정렬되어 형성된다. 응력 유발 물질은 상기 제1트렌치와 제2트렌치에서 선택적으로 성장되고 전도성을 결정하는 불순물 이온들이 제1트렌치에 소스영역을, 제2트렌치에 드레인 영역을 형성하기 위하여 응력 유발 물질 내로 주입된다. 상기 기판에 유발된 응력을 유지하기 위하여, 이온 주입 이후 기계적으로 단단한(mechanically hard) 층이 응력 유발 물질 위에 놓이게 증착된다.
본 발명은 첨부된 도면과 관련하여 이하 설명될 것인 바, 유사한 번호는 유사한 구성요소를 나타내고, 여기서, 도 1-6은 본 발명의 다양한 실시예들에 따른 응력이 가해진 MOS 디바이스와 그 제조 방법을 단면도로 도식적으로 나타낸 것이다.
이어지는 상세한 설명은 사실상 본 발명의 단순한 예시에 해당하며 본 발명이나 본 발명의 적용 및 사용들을 제한하고자 의도된 것은 아니다. 또한, 전술한 기술 분야, 배경 기술, 발명의 목적 및 하기 상세한 설명에서 개시된 표현되거나 내포된 어떤 이론들에 의해 구속되고자 하는 어떤 의도도 없다.
도 1-6은 본 발명의 다양한 실시예들에 따른 응력이 가해진 MOS 디바이스(30)와 이러한 MOS 디바이스를 제조하는 방법을 나타낸다. 이러한 예시적인 실시예에 있어서, 응력이 가해진 MOS 디바이스(30)는 단일 P-채널 MOS 트랜지스터로서 도시된다. 상기 디바이스(30)와 같은 응력이 가해진 MOS 디바이스로부터 형성된 집적회로는 많은 수의 이러한 트랜지스터들을 포함할 수 있으며, 응력이 가해지지 않은 P-채널 MOS 트랜지스터들과 응력이 가해진 및 응력이 가해지지 않은 N-채널 트랜지스터들 또한 포함할 수 있다.
MOS 트랜지스터들을 제조함에 있어서 다양한 단계들이 잘 알려져 있으며, 따라서, 간결하게 나타내기 위하여, 많은 종래의 단계들이 여기서 간단하게 기술될 것이며, 또는 공지된 공정을 세세하게 설명함이 없이 전체적으로 생략될 것이다. "MOS 디바이스"라는 용어는 정확히는 금속 게이트 전극과 산화 게이트 절연체를 가지는 디바이스를 지칭하지만, 그러한 용어는 반도체 기판 위에 차례로 배치된 게이트 절연체(그것이 산화물이건 다른 절연체이건 간에), 상기 절연체 위에 위치한 전도성 게이트 전극(그것이 금속이건 다른 전도성 물질이건 간에)을 포함하는 어떠한 반도체 디바이스를 지칭하기 위해 내내 사용될 것이다.
도 1에 도시된 바와 같이, 본 발명의 일 실시예에 따른 응력이 가해진 MOS 디바이스(30)의 제조방법은 반도체 기판(36)을 제공하는 단계로서 시작된다. 상기 반도체 기판은 단결정 실리콘 기판이 바람직한데, "실리콘 기판"이라는 용어는 본 명세서에서 반도체 산업에서 일상적으로 사용되는 상대적으로 순수한 실리콘 물질들을 아우르도록 사용된다. 실리콘 기판(36)은 본 명세서에서 설명을 간단히 하기 위해 실리콘 기판이나 반도체 기판으로 지칭되지만, 이에 한정되는 것은 아니다. 실리콘 기판(36)은 벌크 실리콘 웨이퍼나 혹은 절연층 상의 실리콘의 박막(보통은 실리콘-온-절연체 즉, SOI로 알려짐)으로 될 수 있는 바, 이는 실리콘 캐리어 웨이퍼에 의해 지지되며, 본 실시예에서는 벌크 실리콘 웨이퍼로 예시하였으나 반드시 이로만 한정되는 것은 아니다. 바람직하게는 상기 실리콘 웨이퍼는 (100)이나 (110) 방향을 가지며, MOS 디바이스(30)가 제조될 웨이퍼의 적어도 일부분은 N-타입 불순물 도펀트로 도핑된다(예를 들어, N-우물). 상기 N-우물은 예를 들어 이온 주입을 이용하여 적절한 전도성을 가지도록 도핑될 수 있다. 얕은 트렌치 분리(STI; shallow trench isolation)(미도시)는 구현될 회로의 기능에 필요한 것과 같은 개별 디바이스들을 전기적으로 분리하기 위하여 반도체 기판에 형성된다. 잘 알려진 바와 같이, STI를 형성하기 위해 사용될 수 있는 많은 공정들이 있는 바, 상기 공정들은 본 명세서에서 상세하게 설명할 필요가 없다. 일반적으로, STI는 얕은 트렌치를 포함하는데, 이 트렌치는 반도체 기판의 표면 내부로 식각된 다음 절연 물질로 충전(fill)된다. 트렌치가 절연 물질로 충전된 이후, 표면은 보통 평탄화되는데, 예를 들어 CMP(chemical mechanical planarization)를 이용하여 평탄화된다.
게이트 절연층(60)이 상기 실리콘 기판(36)의 표면 상에 형성된다. 게이트 절연체는 산화 분위기에서 실리콘 기판을 가열함으로써 형성된 열적 성장된 실리콘 다이옥사이드이거나, 실리콘 옥사이드, 실리콘 나이트라이드, HfSiO와 같은 고유전상수 절연체 등과 같은 증착된 절연체일 수 있다. 증착된 절연체는 화학기상증착(CVD; chemical vapor deposition), 저압 화학기상증착(LPCVD; low pressure chemical vapor deposition) 또는 플라즈마 증진 화학기상증착(PECVD; plasma enhanced chemical vapor deposition)을 이용하여 증착될 수 있다. 상기 게이트 절연 물질은 보통 1-10 나노미터(nm)의 두께이다. 본 발명의 일 실시예에 따르면 다결정 실리콘층(62)이 게이트 절연층 상에 증착된다. 상기 다결정 실리콘층은 바람직하게는 도핑되지 않은 다결정 실리콘으로 증착되고, 이어서 이온 주입에 의해 불순물로 도핑된다. 상기 다결정 실리콘 표면 상에는 실리콘 옥사이드, 실리콘 나이트라이드, 또는 실리콘 옥시나이트라이드와 같은 하드 마스크(hard mask) 물질층(64)이 증착될 수 있다. 상기 다결정 물질은 실란(silane)을 수소 환원시킴으로써 LPCVD에 의해 약 100nm의 두께로 증착될 수 있다. 상기 하드 마스크 물질은 또한 LPCVD를 이용하여 약 50nm의 두께로 증착될 수 있다.
하드 마스크층(64)과 아래에 놓인 다결정 실리콘층(62)은 도 2에 도시된 것과 같이 P-채널 MOS 트랜지스터의 게이트 전극(66)을 형성하기 위하여 포토리소그래피를 이용하여 패터닝될 수 있다. 게이트 전극(66)은 P-채널 MOS 트랜지스터(30)의 채널(68)을 형성할 반도체 기판(36)의 일부분 위에 놓인다. 다결정 실리콘은 예를 들어 Cl이나 HBr/O2 화학 물질(chemistry)에서의 플라즈마 식각을 이용하여 원하는 패턴으로 식각 형성될 수 있으며, 상기 하드 마스크는 예를 들어, CHF3, CF4, 또는 SF6 화학 물질에서의 플라즈마 식각을 이용하여 식각 형성될 수 있다. 게이트 전극의 패터닝에 이어서 본 발명의 일 실시예에 따라, 실리콘 옥사이드 박막(70)은 산화 분위기에서 다결정 실리콘을 가열함으로써 게이트 전극(66)의 대향하는 측벽들(72) 상에 열적으로 성장된다. 상기 박막(70)은 약 2-5nm의 두께로 성장될 수 있다. 게이트 전극(66)과 박막(70)은 MOS 트렌지스터의 소스 및 드레인 확장부(미도시)를 형성하기 위하여 이온 주입 마스크로서 사용될 수 있다. 복수의 소스 및 드레인 영역들을 형성하기 위한 방법이나 가능한 필요성들은 잘 알려져 있으나, 본 발명과 밀접한 관계에 있는 것은 아니며, 따라서 본 명세서에서 설명될 필요는 없을 것이다.
본 발명의 일 실시예에 따르면, 도 3에 도시된 바와 같이, 측벽 스페이서들(80)이 게이트 전극(66)의 대향 측벽들(72) 상에 형성된다. 측벽 스페이서들은 게이트 전극들 위에 스페이서 물질층을 증착하고 이어서 예를 들어 반응성 이온 식각(reactive ion etching)을 이용하여 상기 층을 이방성으로 식각함으로써 실리콘 나이트라이드, 실리콘 옥사이드 등으로 형성될 수 있다. 측벽 스페이서들(80), 게이트 전극(66), 상기 게이트 전극들 최상부 상의 하드 마스크는 실리콘 기판에 P-채널 게이트 전극(66)과 서로 이격되어 자기 정렬되는 트렌치들(82, 84)을 식각하기 위한 식각 마스크로서 사용된다. 상기 트렌치들은 채널(68)의 끝부분들을 교차한다. 상기 트렌치들은, 예를 들어 HBr/O2 나 Cl의 화학 물질을 이용한 플라즈마 식각에 의해 식각될 수 있다. 각각의 트렌치들은 대략 0.04에서 0.2 μm 정도의 깊이를 갖는 것이 바람직하다.
도 4에 도시된 바와 같이, 상기 트렌치들은 응력 유발 물질층(90)으로 충전된다. 응력 유발물질은 실리콘의 격자 상수와 다른 격자 상수값을 가지는 실리콘 기판 상에 성장될 수 있는 어떠한 단결정 물질일 수 있다. 두 개의 병렬 배치된 물질들의 격자 상수 차이는 두 물질 사이의 인터페이스에 응력을 생성시키는 바, 이 응력은 호스트 물질에서 재분배(redistribution)된다.
바람직하게는, 응력 유발 물질은 실리콘이 응력을 받지만, 그러나 실질적으로 무결점의 완전한 결정으로 남도록 상기 실리콘 호스트를 탄성적으로 변형시킨다. 결점들(defects)이 응력을 감소시키거나 완화시킬 수 있다. 응력 유발 물질은 예를 들어 약 10-25 원자%게르마늄을 가지는 단결정 실리콘 게르마늄(SiGe) 또는 약 1-4의 원자%치환 탄소, 더욱 바람직하계는 약 2 미만의 원자% 치환탄소를 가지는 단결정 실리콘일 수 있다. 바람직하게는, 상기 응력 유발 물질은 선택 성장 공정에 의해 에피택셜하게 성장된다. 선택적인 방식으로 실리콘 호스트 상에 이러한 물질들을 에피택셜하게 성장시키는 방법은 잘 알려져 있으므로 이를 본 명세서에서 상세히 설명할 필요는 없다. SiGe의 경우에 있어서, 예를 들어 SiGe는 실리콘의 격자 상수보다 더 큰 격자 상수를 가지는바, 이는 트랜지스터 채널(68)에 세로방향 압축 응력(compressive longitudinal stress)을 생성시킨다. 세로방향 압축 응력은 채널(68)에서 정공들의 이동성을 증가시키고 이에 따라 P-채널 MOS 트랜지스터의 성능을 향상시킨다.
트렌치들(82, 84)에 응력 유발 물질을 성장시킨 후, 도 5에 도시된 바와 같이 P-채널 MOS 트랜지스터(30)에 소스 영역(92)과 드레인 영역(94)을 형성하기 위하여 P-타입 전도성을 결정하는 이온들이 화살표(86)로 나타낸 것과 같이 응력 유발 물질 내로 주입된다. 주입된 이온들은 전기적으로 활성화되기 위해서는 어닐링되어야 하며, 그러한 어닐링은 보통 주입이 완결된 후에 곧바로 수행된다. 그러나, 온도 상승은, 상기 표면 상에 핵생성(nucleation)되는 변위(dislocation) 발생 및 상기 SiGe의 표면에서의 단차(steps) 생성으로 인하여 SiGe 또는 다른 응력 유발 물질에서의 고유 응력들이 완화되게 한다.
본 발명의 실시예에 따르면, 도 6에 도시된 바와 같이, 응력 유발 물질의 표면 상에 높은 기계적 강도를 가지는 층(96)을 증착함으로써 채널(68)에서의 응력의 완화를 방지한다. 상기 높은 기계적 강도층은 계단 형성를 지연시키고, 응력 유발 물질 표면에서의 변위 핵생성(dislocation nucleation)과 전파를 방지한다. 높은 기계적 강도층은 이온 주입의 어닐링이나 기타 다른 고온 단계들 이전에 적용된다. 층(96)의 적용 이후, 상기 디바이스는 높은 온도를 겪을 수 있으나 응력들은 유지될 것이다. 층(96)은 상대적으로 낮은 온도에서 증착될 수 있고 응력 유발 물질의 영률(Young's modulus)보다 큰 영률, 바람직하게는 매우 큰 영률을 가지는 어떤 물질이 될 수 있다. 예를 들어, 약 150 GPa의 영률을 갖는 SiGe와 함께 사용하기 위해서는, 실리콘 나이트라이드(약 350GPa의 영률), 실리콘 카바이드(약 400 내지 750GPa의 영률) 및 다이아몬드상 탄소(diamond-like carbon)(약 800GPa의 영률)가 층(96)에 적합하다. 본 명세서에서 사용된 바와 같이, 낮은 온도는 약 600℃보다 낮은 어떤 온도를 의미하며, 높은 온도는 약 900℃보다 높은 어떤 온도를 의미한다. 층(96)은 CVD, LPCVD 또는 PECVD에 의해 증착될 수 있다. 실리콘 나이트라이드 층은 예를 들어, 디클로로실란(dichlorosilane)과 암모니아(ammonia)의 플라즈마 증진 반응을 이용하여 약 450℃의 온도에서 PECVD에 의해 증착될 수 있다. 유사하게, 실리콘 카바이드는 약 550℃에서 기체상 SiCl4 및 메탄과 PECVD를 이용하여 증착될 수 있으며, 다이아몬드상 탄소는 200℃에서 Ar, H2, SiH4 및 C2H2의 기체 혼합물을 이용하여 증착될 수 있다. 본 발명의 다른 실시예에 따르면(미도시), 높은 기계적 강도층(96) 아래에 예를 들어, 2-5nm 두께를 가지는 패드 옥사이드 층을 먼저 제공하는 것이 효과적일 수 있다. 패드 옥사이드 층은 예를 들어, 실리콘 나이트라이드와 아래에 놓은 반도체 물질 사이의 어떠한 반응도 방지하게 한다.
응력이 가해진 MOS 디바이스(30)는 층(96) 위에 유전 물질층을 증착하는 단계, 소스와 드레인 영역들의 일부분들을 노출시키기 위해 상기 유전 물질 및 층(96)을 통해 개구부를 식각하는 단계, 및 상기 소스 영역과 드레인 영역에 전기적으로 접속하도록 상기 개구부들을 통해 확장되는 금속화부를 형성하는 단계들과 같은 공지된 단계들(미도시)에 의해 완성될 수 있다. 더욱이 층 사이의 유전 물질층들, 추가 상호연결 금속화층들 등은 구현되는 집적 회로의 적절한 회로 기능을 실현하기 위해 응용되거나 패터닝될 수 있다.
삭제
전술한 본 발명의 상세한 설명에서 적어도 하나의 실시예가 제시되었지만, 수많은 변형 실시예가 가능함이 인지되어야 할 것이다. 상기 실시예(들)은 단지 예시일뿐이며 본 발명의 범위, 응용, 또는 구성을 어떠한 방법으로도 한정하고자 의도된 것이 아님이 인지되어야 할 것이다. 오히려, 전술한 상세한 설명은 당업자가 본 발명에 따른 실시예를 구현하기 위한 편리한 로드맵을 제공하기 위한 것이다. 첨부된 특허 청구 범위에 제시된 범위 및 이의 법적 균등 범위를 벗어남이 없이 전술한 실시예에서의 기능 및 구성요소들의 배열에 있어서 다양한 변경을 가할 수 있음이 이해되어야 한다.

Claims (10)

  1. 단결정 반도체 기판(36)을 제공하는 단계;
    상기 단결정 반도체 기판(36) 내로 트렌치(82)를 식각 형성하는 단계;
    상기 단결정 반도체 기판(36)과 격자 비정합인 단결정 반도체 물질(90)로 상기 트렌치(82)를 선택적으로 충전(fill)하는 단계, 여기서 상기 단결정 반도체 물질(90)은 제1영률(Young's modulus)을 가지며; 및
    상기 제1영률보다 큰 제2영률을 가지며 상기 단결정 반도체 물질(90)과 접촉하는 물질의 막(96)을 증착하는 단계를 포함하며,
    상기 물질의 막(96)은 후속 공정 동안 상기 단결정 반도체 기판(36)의 단결정 반도체 물질(90)에 의한 응력 상태를 유지하도록 되어 있으며, 상기 물질의 막(96)을 증착하는 단계는 600℃ 보다 높은 온도로 상기 단결정 반도체 물질이 가열되기 전에 수행되는 것을 특징으로 하는 응력이 가해진 MOS 디바이스(30) 제조방법.
  2. 제1항에 있어서, 상기 단결정 기판(36)을 제공하는 단계는 단결정 실리콘 기판을 제공하는 단계를 포함하며, 상기 트렌치를 선택적으로 충진하는 단계는 단결정 SiGe와 적어도 2%의 탄소를 함유한 단결정 실리콘으로 이루어진 군에서 선택된 단결정 물질(90)로 상기 트렌치를 선택적으로 충전하는 단계를 포함하는 것을 특징으로 하는 응력이 가해진 MOS 디바이스(30) 제조방법.
  3. 단결정 반도체 기판(36)을 제공하는 단계;
    상기 단결정 반도체 기판(36) 상에 응력 유발 단결정 반도체 물질(90)을 에피택셜하게 성장시킴으로써 상기 단결정 반도체 기판에 응력 상태를 만드는 단계, 여기서 상기 응력 유발 단결정 반도체 물질(90)은 상기 단결정 반도체 기판(36)에 격자 비정합을 가지며; 및
    상기 응력 유발 단결정 반도체 물질(90)이 900℃를 초과하는 온도를 겪기 이전에 상기 응력 유발 단결정 반도체 물질(90) 상에 물질의 막(96)을 증착함으로써 상기 단결정 반도체 기판(36)에 상기 응력 상태를 유지하는 단계를 포함하며,
    상기 물질의 막(96)은 후속 공정 동안 상기 단결정 반도체 기판(36)의 응력 유발 단결정 반도체 물질(90)에 의해 야기된 상기 응력 상태를 유지하도록 된 것을 특징으로 하는 응력이 가해진 MOS 디바이스 제조방법.
  4. 제3항에 있어서, 상기 응력을 유지하는 단계는 실리콘 나이트라이드, 실리콘 카바이드 및 다이아몬드상 탄소(diamond-like carbon)로 이루어진 군에서 선택된 물질의 막(96)을 증착하는 단계를 포함하는 것을 특징으로 하는 응력이 가해진 MOS 디바이스 제조방법.
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
KR1020087005314A 2005-08-03 2006-07-20 응력이 가해진 mos 디바이스 제조 방법 KR101243997B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/197,046 US7902008B2 (en) 2005-08-03 2005-08-03 Methods for fabricating a stressed MOS device
US11/197,046 2005-08-03
PCT/US2006/028197 WO2007019002A2 (en) 2005-08-03 2006-07-20 Methods for fabricating a stressed mos device

Publications (2)

Publication Number Publication Date
KR20080035674A KR20080035674A (ko) 2008-04-23
KR101243997B1 true KR101243997B1 (ko) 2013-03-18

Family

ID=37460164

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087005314A KR101243997B1 (ko) 2005-08-03 2006-07-20 응력이 가해진 mos 디바이스 제조 방법

Country Status (8)

Country Link
US (1) US7902008B2 (ko)
JP (1) JP2009503892A (ko)
KR (1) KR101243997B1 (ko)
CN (1) CN101233606B (ko)
DE (1) DE112006002055B4 (ko)
GB (2) GB2442690B (ko)
TW (1) TWI416632B (ko)
WO (1) WO2007019002A2 (ko)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US20070235763A1 (en) * 2006-03-29 2007-10-11 Doyle Brian S Substrate band gap engineered multi-gate pMOS devices
DE102006032195A1 (de) * 2006-07-12 2008-01-24 Robert Bosch Gmbh Verfahren zur Herstellung von MEMS-Strukturen
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US7629271B1 (en) * 2008-09-19 2009-12-08 Applied Materials, Inc. High stress diamond like carbon film
JP4952725B2 (ja) * 2009-01-14 2012-06-13 ソニー株式会社 不揮発性磁気メモリ装置
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
DE102010046215B4 (de) 2010-09-21 2019-01-03 Infineon Technologies Austria Ag Halbleiterkörper mit verspanntem Bereich, Elektronisches Bauelement und ein Verfahren zum Erzeugen des Halbleiterkörpers.
US8642407B2 (en) * 2010-11-04 2014-02-04 International Business Machines Corporation Devices having reduced susceptibility to soft-error effects and method for fabrication
WO2013040461A2 (en) * 2011-09-15 2013-03-21 Amedica Corporation Coated implants and related methods
CN103165464B (zh) * 2011-12-19 2016-02-17 中芯国际集成电路制造(上海)有限公司 采用e-SiGe的PMOS制造方法
US8815712B2 (en) * 2011-12-28 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial re-growth of semiconductor region
US20130175640A1 (en) * 2012-01-06 2013-07-11 Globalfoundries Inc. Stress enhanced mos transistor and methods for fabrication
CN103545211A (zh) * 2012-07-13 2014-01-29 中国科学院微电子研究所 半导体器件制造方法
US10396201B2 (en) 2013-09-26 2019-08-27 Intel Corporation Methods of forming dislocation enhanced strain in NMOS structures
US9530876B2 (en) 2013-12-20 2016-12-27 International Business Machines Corporation Strained semiconductor nanowire
KR102083632B1 (ko) * 2014-04-25 2020-03-03 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9536945B1 (en) * 2015-07-30 2017-01-03 International Business Machines Corporation MOSFET with ultra low drain leakage
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040014276A1 (en) 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US20040259315A1 (en) 2003-06-09 2004-12-23 Canon Kabushiki Kaisha Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20050035359A1 (en) 1998-09-14 2005-02-17 Matsushita Electric Industrial Co., Ltd. Semiconductor device and semiconductor substrate, and method of fabricating the same
US20050059228A1 (en) 2003-09-15 2005-03-17 Haowen Bu Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
KR100246602B1 (ko) * 1997-07-31 2000-03-15 정선종 모스트랜지스터및그제조방법
US6214679B1 (en) * 1999-12-30 2001-04-10 Intel Corporation Cobalt salicidation method on a silicon germanium film
US6541343B1 (en) * 1999-12-30 2003-04-01 Intel Corporation Methods of making field effect transistor structure with partially isolated source/drain junctions
JP4368095B2 (ja) * 2002-08-21 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
US6713802B1 (en) * 2003-06-20 2004-03-30 Infineon Technologies Ag Magnetic tunnel junction patterning using SiC or SiN
US20040262683A1 (en) 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US20050035369A1 (en) 2003-08-15 2005-02-17 Chun-Chieh Lin Structure and method of forming integrated circuits utilizing strained channel transistors
JP2005084603A (ja) * 2003-09-11 2005-03-31 Konica Minolta Medical & Graphic Inc 熱現像画像記録材料
US7053400B2 (en) 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7060579B2 (en) * 2004-07-29 2006-06-13 Texas Instruments Incorporated Increased drive current by isotropic recess etch
US7190036B2 (en) * 2004-12-03 2007-03-13 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor mobility improvement by adjusting stress in shallow trench isolation
US7323391B2 (en) * 2005-01-15 2008-01-29 Applied Materials, Inc. Substrate having silicon germanium material and stressed silicon nitride layer
JP2006269673A (ja) * 2005-03-23 2006-10-05 Nec Electronics Corp 半導体装置およびその製造方法
US7282415B2 (en) * 2005-03-29 2007-10-16 Freescale Semiconductor, Inc. Method for making a semiconductor device with strain enhancement
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
US7232730B2 (en) * 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7569443B2 (en) * 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050035359A1 (en) 1998-09-14 2005-02-17 Matsushita Electric Industrial Co., Ltd. Semiconductor device and semiconductor substrate, and method of fabricating the same
US20040014276A1 (en) 2002-07-16 2004-01-22 Murthy Anand S. Method of making a semiconductor transistor
US20040259315A1 (en) 2003-06-09 2004-12-23 Canon Kabushiki Kaisha Semiconductor substrate, semiconductor device, and method of manufacturing the same
US20050059228A1 (en) 2003-09-15 2005-03-17 Haowen Bu Integration of pre-S/D anneal selective nitride/oxide composite cap for improving transistor performance

Also Published As

Publication number Publication date
TW200746309A (en) 2007-12-16
DE112006002055T5 (de) 2008-07-03
GB2442690B (en) 2010-09-01
GB2469240A (en) 2010-10-06
DE112006002055B4 (de) 2010-04-15
KR20080035674A (ko) 2008-04-23
CN101233606A (zh) 2008-07-30
WO2007019002A2 (en) 2007-02-15
US20070032024A1 (en) 2007-02-08
GB0802791D0 (en) 2008-03-26
WO2007019002A3 (en) 2007-03-29
TWI416632B (zh) 2013-11-21
US7902008B2 (en) 2011-03-08
GB201012119D0 (en) 2010-09-01
CN101233606B (zh) 2011-05-11
GB2469240B (en) 2011-03-09
JP2009503892A (ja) 2009-01-29
GB2442690A (en) 2008-04-09

Similar Documents

Publication Publication Date Title
KR101243997B1 (ko) 응력이 가해진 mos 디바이스 제조 방법
KR101243996B1 (ko) 응력이 가해진 mos 디바이스 제조방법
KR101386711B1 (ko) 스트레스 인핸스드 mos 트랜지스터 및 그 제조 방법
US8513718B2 (en) Stress enhanced transistor devices and methods of making
US7326601B2 (en) Methods for fabrication of a stressed MOS device
US8148214B2 (en) Stressed field effect transistor and methods for its fabrication
KR101600553B1 (ko) 에피택셜 성장된 스트레스-유도 소오스 및 드레인 영역들을 가지는 mos 디바이스들의 제조 방법
US7442601B2 (en) Stress enhanced CMOS circuits and methods for their fabrication
JP5359863B2 (ja) 半導体装置及びその製造方法
KR101415284B1 (ko) 스트레스 강화형 트랜지스터 및 이를 제조하는 방법
US8159030B2 (en) Strained MOS device and methods for its fabrication
JP5091403B2 (ja) 半導体装置およびその製造方法
US7485929B2 (en) Semiconductor-on-insulator (SOI) strained active areas
US20070023795A1 (en) Semiconductor device and method of fabricating the same
US8084329B2 (en) Transistor devices and methods of making
US20070202651A1 (en) Semiconductor process integrating source/drain stressors and interlevel dielectric layer stressors
US20090050963A1 (en) Stressed mos device and methods for its fabrication

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee