KR101118996B1 - Euv광원용 콜렉터 - Google Patents

Euv광원용 콜렉터 Download PDF

Info

Publication number
KR101118996B1
KR101118996B1 KR1020117003417A KR20117003417A KR101118996B1 KR 101118996 B1 KR101118996 B1 KR 101118996B1 KR 1020117003417 A KR1020117003417 A KR 1020117003417A KR 20117003417 A KR20117003417 A KR 20117003417A KR 101118996 B1 KR101118996 B1 KR 101118996B1
Authority
KR
South Korea
Prior art keywords
mirror
collector
lithium
euv light
light source
Prior art date
Application number
KR1020117003417A
Other languages
English (en)
Other versions
KR20110025882A (ko
Inventor
윌리엄 엔. 파틀로
제이. 마틴 알고츠
게리 엠. 블루멘스톡
노버트 바우어링
알렉산더 아이. 어쇼브
이고르 브이. 포멘코프
지아오지앙 제이. 판
Original Assignee
사이머 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/409,254 external-priority patent/US6972421B2/en
Application filed by 사이머 인코포레이티드 filed Critical 사이머 인코포레이티드
Publication of KR20110025882A publication Critical patent/KR20110025882A/ko
Application granted granted Critical
Publication of KR101118996B1 publication Critical patent/KR101118996B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01SDEVICES USING THE PROCESS OF LIGHT AMPLIFICATION BY STIMULATED EMISSION OF RADIATION [LASER] TO AMPLIFY OR GENERATE LIGHT; DEVICES USING STIMULATED EMISSION OF ELECTROMAGNETIC RADIATION IN WAVE RANGES OTHER THAN OPTICAL
    • H01S3/00Lasers, i.e. devices using stimulated emission of electromagnetic radiation in the infrared, visible or ultraviolet wave range
    • H01S3/10Controlling the intensity, frequency, phase, polarisation or direction of the emitted radiation, e.g. switching, gating, modulating or demodulating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Atmospheric Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mathematical Physics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • General Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Optical Elements Other Than Lenses (AREA)

Abstract

반사면을 포함할 수 있는 EUV광원내의 EUV콜렉터의 반사면으로부터 찌꺼기를 제거하기 위한 방법과 장치가 개시되고, 반사면은 제 1 물질을 포함하고 찌꺼기는 제 2 물질 및/또는 제 2 물질의 화합물을 포함하고, 본 시스템 및 방법은 제어된 스퍼터링 이온 소스를 포함할 수 있고, 상기 제어된 스퍼터링 이온 소스는 스퍼터링 이온 물질의 원자를 포함하는 가스; 및 스퍼터링 이온 물질의 원자를 이온화 상태로 여기시키는 자극 메커니즘을 포함할 수 있고, 상기 이온화 상태는 제 2 물질을 스퍼터링하는 확률이 높고 제 1 물질을 스퍼터링하는 확률이 매우 낮은 선택된 에너지 피크 주위에서의 분포를 가지도록 선택된다. 자극 메커니즘은 RF 또는 마이크로웨이브 유도 메커니즘을 포함할 수 있다. 가스는 선택된 에너지 피크를 부분적으로 결정하는 압력으로 유지되고 자극 메커니즘은 제 2 물질의 플라즈마 찌꺼기 원자의 유입율과 같거나 초과하는 반사면으로부터 제 2 물질의 원자의 스퍼터 밀도를 생성하는 스퍼터링 이온 물질의 이온의 유입을 생성할 수 있다. 스퍼터링 율은 반사면의 소정의 원하는 수명에 대해 선택될 수 있다. 반사면은 캐핑될 수 있다. 콜렉터는 타원형 미러와 방사상으로 뻗어있는 채널을 포함할 수 있는 찌꺼기 실드를 포함할 수 있다. 제 1 물질은 몰리브덴, 제 2 물질은 리튬일 수 있고 이온 물질은 헬륨일 수 있다. 시스템은 반사면으로부터 제 2 물질을 증발시키기 위한 히터를 구비할 수 있다. 자극 메커니즘은 점화 시점사이에 반사면에 연결될 수 있다. 반사면은 장벽층을 구비할 수 있다. 콜렉터는, 반사기 쉘상의 다층 스택을 위한 층물질의 선택에 의해 스펙트럼 필터로서 기능할 수 있는, 입사 반사기 쉘의 스침각과 결합하여 구형 미러일 수 있다. 스퍼터링은 가열과 결합하게 될 수 있고, 후자는 리튬을 제거하고 전자는 리튬의 화합물을 제거하고, 스퍼터링은 여기된 가스 원자보다는 플라즈마내에서 생성된 이온에 의해 될 수 있다.

Description

EUV광원용 콜렉터{COLLECTOR FOR EUV LIGHT SOURCE}
본 발명은 반도체 집적회로 리소그래피 노출 광원과 같은 것에 응용하기 위한 EUV(소프트 엑스선)광을 발생시키는 분야에 관한 것이고, 보다 상세하게는 이러한 장치용의 광 콜렉터에 관한 것이다.
반도체 집적회로의 제조를 위한 점점 더 작아지는 임계 치수의 필요성 때문에 극자외선(DUV)의 사용에서 소프트 엑스선이라고도 불리는 원자외선(EUV)의 사용으로 옮겨가게 되었다. 예컨대, 주 구성요소를 대체할 때의 허용 수명 동안 EUV리소그래피 툴(예컨대, 스테퍼 스캐너나 일반 스캐너)에서 적당한 처리율을 얻을 수 있도록 하는 유효 에너지 레벨의 광을 발생시키기 위한 다양한 장치와 방법이 제안되고 있다.
중심 파장이 13.5nm인 에너지 분포를 갖는 EUV광자의 대부분에서 자연붕괴가 일어나는 상태로 리튬 원자를 여기시키는 플라즈마를 형성시키기 위하여 리튬 등을 사용하여 도입되고 그리고/또는 조사(照射)되는 중심 파장이 13.5nm인 광을 발생시키기 위한 방법이 제안되고 있다. 예컨대, 미국 특허 제 6,586,757호, 발명의 명칭 "PLASMA FOCUS LIGHT SOURCE WITH ACTIVE BUFFER GAS CONTROL", 발명자 "Melynchuk "등, 특허일 2003. 7. 1, 및 미국 특허 출원 제 10/409,254호, 출원일 2003. 4. 8, 및 미국 특허 제 6,566, 668호, 발명의 명칭 "PLASMA FOCUS LIGHT SOURCE WITH TANDEM ELLIPSOIDAL MIRROR UNITS", 발명자 "Rauch"등, 특허일 2003. 5. 20, 및 미국 특허 제 6,566, 667호, 발명의 명칭 "PLASMA FOCUS LIGHT SOURCE WITH IMPROVED PULSE POWER SYSTEM", 발명자 "Partlo"등, 특허일 2003. 5. 20, 및 기타 대표 특허 또는 공개 출원, 예컨대 미국 공개 출원 번호 제 2002-0009176A1, 발명의 명칭 "X-RAY EXPOSURE APPARATUS", 공개일 2002. 1. 24, 발명자 "Amemlya"등,에 개시되어 있는 바와 같이 고체나 액체 형태의 리튬 소스 근방에서 고밀도 플라즈마 포커스 전극을 사용하여 전기 방전을 일으킴으로써 플라즈마를 형성할 수 있다. 또한, 예컨대 미국 특허 제 6,285,743호, 발명의 명칭 "METHOD AND APPARATUS FOR SOFT X-RAY GENERATION", 발명자 "Kondo"등, 특허일 2001. 9. 4, 미국 특허 제 6,493, 423호, 발명의 명칭 "METHOD OF GENERATING EXTREMELY SHORT-WAVE RADIATION...", 발명자 "Bisschops", 특허일 2002. 12. 10, 미국 공개 출원 제 2002-0141536A1, 발명의 명칭 "EUV, XUV AND X-RAY WAVELENGTH SOURCES CREATED FROM LASER PLASMA...", 공개일 2002. 10. 3, 발명자 "Richardson", 미국 특허 제 6,377, 651호, 발명의 명칭 "LASER PLASMA SOURCE FOR EXTREME ULTRAVIOLET LITHOGRAPHY USING WATER DROPLET TARGET", 발명자 "Richardson"등, 특허일 2002. 4. 23, 미국 특허 제 6,307, 913호, 발명의 명칭 "SHAPED SOURCE OF X-RAY, EXTREME ULTRAVIOLET AND ULTRAVIOLET RADIATION", 발명자 "Foster"등, 특허일 2001. 10. 23, 에 개시되어 있는 바와 같이, 표적물, 예컨대 리튬 등의 액체 금속 적 또는 예컨대 액체 또는 고체 형태로 액적내에 포함된 리튬과 같은 표적물 금속을 포함하는 기타 재료의 액적에 표적물에 포커싱시킨 레이저를 조사함으로써 플라즈마를 유도할 수 있다.
이러한 플라즈마의 생성과 생성된 플라즈마에 의해 발생되는 원하는 대역내의 EUV광의 에너지량은 비교적 크기 때문에, 예컨대, 100watt/cm2, EUV광의 집광 효율이 가능한 크게 되도록 해야한다. 또한 이러한 효율은 크게 열화하지 않아야 한다. 즉, 유효한 100%의 듀티 사이클을 위해 예컨대 초고속 펄스 반복율(4KHz 이상)로 1년의 유효기간과 같이 비교적 장기간의 동작 기간에서 이러한 고효율을 유지할 수 있어야 한다. EUV광원용 콜렉터에 관하여 본 발명의 태양을 설명함에 다루어질 이러한 목표 양상을 충족시킬 수 있는 많은 방안이 있다.
작동가능한 설계에 있어서 거론되어야할 몇 가지 문제는, 예컨대, 외부 루테늄("Ru") 코팅을 통하여 몰리브덴("Mo" 또는 "몰리")과 실리콘("Si")의 교대층으로 제작된 다층 수직 입사각 반사 미러 층으로의 Li의 확산 및 1차 및/또는 2차 콜렉터 수명에 대한 영향; Li와 Si간의 화학적 반응 및 1차 및/또는 2차 콜렉터 수명에 대한 영향; 플라즈마를 형성하기 위한 점화를 일으키는 레이저로부터 발생된 대역외 방사선, 예컨대 레지스트 노광에 미칠 수 있는 영향을 제거하기 위해 최소로 유지되어야 하는 KrF 엑시머 레이저로부터 방사되는 248nm방사선의 산란, 여기서 원 자외선(Deep UV) 레지스트 타입이 리소그래피의 EUV영역으로 전달될 수 있고 이러한 대역외 광은 표적물로부터 산란되어 레지스트의 노광을 매우 효과적으로 일으킬 수 있다; 중간 초점으로의 100W의 출력 광 에너지의 전달; 적어도 5G번의 펄스의 1, 2차 콜렉터 수명; 예컨대 표적 액적 또는 액적내 표적물, 또는 기타 표적물등의 소정의 표적물인 소정의 소스에 의해 요구되는 전환 효율을 달성, 상승된 동작 온도 및 중심 주파수가 대략 13.5nm인 대역외 방사선에 요구되는 다층 미러의 수명의 보존등을 포함한다.
NIR(normal incidence of reflection)미러는 다층 반사를 이용하여 EUV의 대상 파장인, 예컨대 대략 5 내지 20nm, 대략 11.3nm 또는 13.0-13.5nm의 파장으로 구성할 수 있음은 잘 알려져 있다. 이러한 미러의 특성은, 예컨대, Braun 등의 "Multi-component EUV multi-layer mirrors, Proc. SPIE 5037 (2003) (Braun"); Feigl 등의 "Heat resistance of EUV multi-layer mirrors for long-time applications," Microelectronic Engineering 57-58, p. 3-8 (2001) ("Feigl"), 미국 특허 제 6,396, 900호, 발명의 명칭 "MULTILAYER FILMS WITH SHARP, STABLE INTERFACES FOR USE IN EUV AND SOFT X-RAY APPLICATION", 발명자 Barbee, Jr. 등, 특허일 2002. 5. 28.-기초 출원 번호 제 10/847,744호, 출원일 2002. 5. 1 ("Barbee") 및 미국 특허 제 5,319, 695호, 발명의 명칭 "MULTILAYER FILM REFLECTOR FOR SOFTX-RAYS", 발명자 Itoh 등, 특허일 1994. 6. 7,-기초 출원 번호 제 45,763호, 출원일 1993. 4. 14-일본의 우선일 1992. 4. 21 ("Itoh")에서 논의되어 있는 바와 같이, 포함된 층의 일부나 전부의 조성, 수, 정렬, 결정도, 표면 거칠기, 상호 확산, 주기 및 두께비, 어닐링량 등, 및 확산 장벽이 사용되는지 여부, 장벽층의 재질과 두께 및 장벽층에 의해 분리된 층의 조성에 대한 영향에 의해 좌우된다.
Itoh는 실리콘 및 몰리브덴층으로 조성된 다층막과 각각의 인접층의 쌍 사이에 형성된 수화된 인터페이스층을 형성하기 위해 기판상에 교대로 증착된 실리콘(Si) 몰리브덴(Mo)과 같이, 서로 다른 X-선 굴절율을 가진 물질에 대해 논의했다. Barbee는 양 인터페이스(Mo-Si 및 Si-Mo 인터페이스) 상에 위치된 예컨대 보론 카바이드(B4C)와 같은 박층의 제 3의 화합물을 논의했다. 이러한 제 3의 층은 EUV파장 및 소프트 엑스선 파장에서 저흡수율을 갖는 것을 특징으로 하는 보론 카바이드와 기타 카본 및 보론 기반의 화합물을 포함한다. 따라서, Mo와 Si의 교대층을 포함하는 다층 막은 각각의 층 사이에 보론 카바이드(예컨대, B4C) 및/또는 보론 기반 화합물의 얇은 중간층을 포함한다. 중간층은, 상호확산이 방지되거나 줄어들어서 이러한 원하는 효과를 일으킬 수 있는 Mo/Si에 대하여 반사도의 증가와 열적 안정도 증가를 일으킬 수 있는 표면(계면) 화학특성을 변화시킨다. Barbee는 또한 Mo-Si 인터페이스로부터 Si-Mo 인터페이스까지의 제 3의 층의 두께를 변화시키는 것에 대하여 논의했고, Mo-Si의 선명도가 일반적으로 Si-Mo 인터페이스의 선명도보다 대략 2.5배 더 불량하지만, Mo-Si 인터페이스 내의 B4C 중간층의 증착 때문에, 이러한 인터페이스 선명도는 Si-Mo 인터페이스의 선명도와 유사하다고 논의했다. Braun은 열적 안정성을 개선시키고 내부 응력을 낮춤과 동시에 반사도를 증가시키기 위해 Mo-Si 경계에서 상호확산을 줄이는 카본 장벽층의 사용에 대해 논의하고 있다. 그는 Mo-Si 경계가 보통 Mo-Si 경계 및 Si-Mo 경계에서 두께가 변하는 인터페이스에서 MoSi2를 형성한다는 것과, Mo 및/또는 Si층의 조직이, 예컨대 탄소 성분의 장벽층에 의해 영향받을 수 있다고 기록하고 있다. 또한 그는 장벽층이 없이 Mo-Si 인터페이스의 인터페이스 거칠기에 대한 장벽층 형성의 영향을 기록하고 있다. 그의 보고에 의하면 λ=13.3nm에서 Mo/SiC 다층을 사용하면 70.1%의 반사율을 가진다고 한다. 곡면 미러용의 이러한 다층 미러를 사용하는 기능에 영향을 미치는 Mo/Si/C다층과 비교하여 어닐링에 의해서도 B4C를 사용한 내부 응력의 감소 또한 논의하고 있다. 그는 또한 다층 구성에 있어서 중간층 콘트라스트, 반사도에 대한 영향, 및 흡수도간의 상쇄에 대해 논의하고 있는데, 예컨대, 저 흡수율 및 저 콘트라스트의 Nb를 갖는 NbSi층, 및 고 콘트라스트 및 고 흡수율의 Ru를 갖는 Ru/Si층, 이러한 두 층이 Mo/Si 다층 스택보다 덜 효과적으로 작용한다고 한다. 그는 또한 이론적으로 높은 반사도를 갖는 Mo/Si/Ag 또는 Mo/Si/Ru의 3층을 사용하는 경우의 이론적 유용성에 대해 논의하고 있다-다만 Ag의 경우는, Mo층의 결정화를 방지하기 위하여 Mo층 두께가 제한된 Mo/Si/C/Ru 다층 스택의 λ=13.5nm에서 계산상 최고의 반사도와 원하는 두께에서 Ag층내의 공극 때문에 이론적인 반사도를 얻을 수 없다. 그러나, Braun이 발견한 바에 의하면 Mo/Si/C/Ru 다층 스택은 스택을 통해 상향으로 퍼져있는 초기 Mo층 증착 표면 거칠기에 의한 것으로 보이는 원인에 의해 이론상의 계산상 반사도 예상치에 이르지 못한다. Feigl은 500℃에 이르는 상승 온도가, 초박형 Mo2C 장벽층의 사용을 포함하는, Mo/Si 및 Mo/Mo2C/Si/Mo2C다층 스택의 구조상 안정성에 미치는 영향을 논의하고 있다. Feigl의 기록에 의하면 장벽층은 상기한 온도, 예컨대 200℃에서 Mo와 Si의 어닐링에 의하여 MoSiX의 상호 확산층의 형성을 방지하고, Mo/Mo2C/Si/Mo2C 및 Mo2C/Si 시스템은 600℃에 이르는 온도에서 안정적으로 유지된다. 초박형 Mo2C 장벽층(MoSi2 또한 제안되고 있지만 테스트하지 않았다)을 갖는 전자의 시스템과 후자의 시스템은 다층 시스템에서 Mo를 Mo2C로 치환함으로써 형성된다. Feigl에 의하면 600℃인 동안 Mo2C/Si시스템의 반사도는 0.8 이상으로 유지되지만, 그 온도에서 Mo/Mo2C/Si/Mo2C시스템은 0.7보다 다소 적은 것으로 떨어지고, 400℃에서는 대략 0.7로 줄어든다.
본 출원의 출원인은 EUV응용분야에 대한 장벽층용 특정 물질 및 다층 스택에 대한 잠재적인 개선법을 제안한다.
반사면을 포함할 수 있는 EUV광원내의 EUV콜렉터의 반사면으로부터 찌꺼기를 제거하기 위한 방법과 장치가 개시되고, 반사면은 제 1 물질을 포함하고 찌꺼기는 제 2 물질 및/또는 제 2 물질의 화합물을 포함하고, 본 시스템 및 방법은 제어된 스퍼터링 이온 소스를 포함할 수 있고, 상기 제어된 스퍼터링 이온 소스는 스퍼터링 이온 물질의 원자를 포함하는 가스; 및 스퍼터링 이온 물질의 원자를 이온화 상태로 여기시키는 자극 메커니즘을 포함할 수 있고, 상기 이온화 상태는 제 2 물질을 스퍼터링하는 확률이 높고 제 1 물질을 스퍼터링하는 확률이 매우 낮은 선택된 에너지 피크 주위에서의 분포를 가지도록 선택된다. 자극 메커니즘은 RF 또는 마이크로웨이브 유도 메커니즘을 포함할 수 있다. 가스는 선택된 에너지 피크를 부분적으로 결정하는 압력으로 유지되고 자극 메커니즘은 제 2 물질의 플라즈마 찌꺼기 원자의 유입율과 같거나 초과하는 반사면으로부터 제 2 물질의 원자의 스퍼터 밀도를 생성하는 스퍼터링 이온 물질의 이온의 유입을 일으킬 수 있다. 스퍼터링 율은 반사면의 소정의 원하는 수명에 대해 선택될 수 있다. 반사면은 캐핑될 수 있다. 콜렉터는 타원형 미러와 방사상으로 뻗어있는 채널을 포함할 수 있는 찌꺼기 실드를 포함할 수 있다. 제 1 물질은 몰리브덴, 제 2 물질은 리튬일 수 있고 이온 물질은 헬륨일 수 있다. 시스템은 반사면으로부터 제 2 물질을 증발시키기 위한 히터를 구비할 수 있다. 자극 메커니즘은 점화 시점 사이에 반사면에 연결될 수 있다. 반사면은 장벽층을 구비할 수 있다. 콜렉터는, 반사기 쉘 상의 다층 스택을 위한 층물질의 선택에 의해 스펙트럼 필터로서 기능할 수 있는, 입사 반사기 쉘의 스침각과 결합하여 구형 미러일 수 있다. 스퍼터링은 가열과 결합하게 될 수 있고, 후자는 리튬을 제거하고 전자는 리튬의 화합물을 제거하고, 스퍼터링은 여기된 가스 원자보다는 플라즈마 내에서 생성된 이온에 의해 될 수 있다.
본 발명에 따르면, EUV응용분야에 대한 장벽층용 특정 물질 및 다층 스택에 대한 잠재적인 개선법을 제공할 수 있다.
도 1은 본 발명의 1 태양에 따른 레이저 발생 플라즈마 EUV 광원용 전체적 개념에 대한 개요도;
도 1a는 본 발명의 1 실시예의 1 태양에 따른 시스템 제어기의 동작을 개략적으로 도시하고 있는 도면;
도 2a는 방사 점화 지점에서 본 발명의 1 실시예에 따른 콜렉터의 1 실시예를 향해 바라본 본 발명의 1 태양에 따른 EUV광 콜렉터의 1 실시예의 측면도;
도 2b는 도 2a의 라인 2B를 자른 도 2a의 실시예의 단면도;
도 3은 본 발명의 1 태양에 따른 수직 입사각 콜렉터의 대안의 실시예를 도시한 도면;
도 4는 본 발명의 1 태양에 따른 수직 입사각 콜렉터 찌꺼기 관리 시스템의 개요도;
도 5의 A-C는 본 발명의 1 실시예의 1 태양에 따른 콜렉터 미러에 RF 및/또는 DC에서 콜렉터 클리닝 신호/전류의 제공 타이밍을 도시한 도면;
도 6a 및 6b는 접지 입사각 콜렉터에 관한 본 발명의 실시예의 태양의 단면도의 개요도;
도 7은 5도의 입사각에서 관련 소정의 파장에서 다양한 반사 표면에 대한 입사 접지 입사각 반사율의 구성도;
도 8은 15도에 대한 관련성의 소정의 파장에서 다양한 반사 표면에 대한 접지 입사각 반사율의 구성도;
도 9는 본 발명의 1 태양에 따른 콜렉터의 대안의 실시예의 개요도;
도 10은 본 발명의 1 실시예의 1 태양을 도시함에 유용한, 계산된 액적당 리튬 원자수 대 액적 직경을 도시한 도면;
도 11은 본 발명의 1 실시예의 1 태양을 설명함에 유용한, 계산된 미러 표면상에 리튬 원자의 굴절율 대 미러 반경을 도시한 도면;
도 12는 본 발명의 1 실시예의 1 태양을 설명함에 유용한 계산된 필요 리튬 두께 스퍼터율 대 미러 직경을 도시한 도면;
도 13은 본 발명의 1 실시예의 1 태양을 설명함에 유용한 300쌍의 다층 코팅된 미러로써 1년의 수명을 가지기 위해 몰리브덴 스퍼터율 대 리튬 스퍼터율의 필요 비율 대 미러 반경을 도시한 도면;
도 14는 본 발명의 1 실시예의 1 태양을 설명함에 유용한 헬륨 이온을 갖는 리튬, 실리콘, 및 몰리브덴에 대한 스퍼터율을 도시한 도면;
도 15는 본 발명의 1 실시예의 1 태양을 설명함에 유용한 리튬, 실리콘, 및 몰리브덴에 대한 스퍼터율과 함께 정규화된 헬륨 이온 에너지를 도시한 도면;
도 16은 본 발명의 1 실시예의 1 태양을 설명함에 유용한 리튬, 실리콘, 및 몰리브덴의 스퍼터율과 함께 헬륨 이온의 전류 밀도를 도시한 도면;
도 17은 본 발명의 1 실시예의 1 태양을 설명함에 유용한 리튬, 실리콘, 및 몰리브덴에 대한 전체 헬륨 이온 스퍼터율을 도시한 도면;
도 18은 본 발명의 1 실시예의 1 태양을 설명함에 유용한 리튬, 및 몰리브덴에 대한 스퍼터율과 함께 정규화된 리튬 이온 에너지를 도시한 도면;
도 19는 본 발명의 1 실시예의 1 태양을 설명함에 유용한 흑체에 대한 방사 전력 밀도 대 온도를 도시한 도면;
도 20은 본 발명의 1 실시예의 1 태양의 개요도;
도 21a 및 b는 본 발명의 1 실시예의 1 태양에 따른 주석과 리튬 이온에 대한 헬륨 및 아르곤 완충 가스의 저지능에 관한 실험 결과를 도시한 도면; 및
도 22a-e는 본 발명의 1 실시예의 1 태양에 따른 리튬과 주석에 대한 헬륨 및 아르곤 완충 가스의 저지능의 또 다른 실험 결과를 도시한 도면.
도 1을 참조하면, 본 발명의 1 태양에 따른 EUV광원, 예컨대 레이저 발생 플라즈마 EUV광원(20)에 대한 전체 개념의 개요도가 도시되어 있다. 광원(20)은, 펄스 레이저 시스템(22), 예컨대 가스 방전 레이저 시스템, 예컨대 엑시머 가스 방전 레이저 시스템, 예컨대 고전력 및 고 펄스 반복율에서 동작하는 KrF 또는 ArF레이저 시스템을 포함할 수 있고, 예컨대 미국 특허 제 6,625,191호, 6,549,551호, 및 6,567,450호에 도시된 MOPA 구성식 레이저 시스템일 수 있다. 본 레이저는 또한 고체 상태 레이저, 예컨대 YAG레이저일 수 있다. 광원(20)은 또한 액적, 고체 입자 또는 액적 내에 포함된 고체 입자의 형태의 표적물을 전달하는 표적물 전달 시스템(24)을 포함할 수도 있다. 표적물은 표적물 전달 시스템(24)에 의해 챔버(26) 내의 조사 장소(28), 또는 점화 장소 또는 파이어볼 겨냥점으로 알려진 장소로 전달될 수 있다. 표적물 전달 시스템(24)의 실시예를 이하에 보다 상세하게 설명한다.
펄스 레이저 시스템(22)에서 레이저 광축(55)을 따라 챔버(26)내의 (도시 안된) 창을 통과하여 적당히 포커싱된 조사 장소까지 전달되는 레이저 펄스는 표적물 전달 시스템(24)에 의해 제공된 표적물의 도달과 관련해서 이하 상세히 설명되는 바와 같이 생성된 엑스선 광의 파장, 표적물의 재질에 따른 점화시 또는 점화후의 플라즈마로부터 발생된 찌꺼기의 타입과 양을 포함하는 특성을 갖는 플라즈마를 방출하는 엑스선(또는 소프트 엑스선(EUV))를 형성하기 위한 점화 또는 파이어볼을 생성한다.
광원은 또한 레이저광이 점화 장소(28)에 들어가도록 하는 아퍼추어가 있는 끝잘린 타원형의 반사기와 같은 콜렉터(30)를 포함할 수도 있다. 콜렉터 시스템의 실시예는 이하에 보다 자세히 설명한다. 콜렉터(30)는 점화 장소(28)에 제 1 초점 및 소위 중간지점(40)(중간 초점(40)이라고도 한다)에서의 제 2 초점을 갖고, 여기서 EUV광은 광원에서 출력되어, 예컨대 (도시 안된) 집적회로 리소그래피 도구에 입력되는 타원형 미러일 수 있다. 시스템(20)은 또한 표적물 위치 탐지 시스템(42)을 포함할 수도 있다. 펄스 시스템(22)은, 오실레이터 레이저 시스템(44)용의 펄스 전력 타이밍 모니터링 시스템(54) 및 증폭기 레이저 시스템(48)용의 펄스 전력 타이밍 모니터링 시스템(56)과 함께 오실레이터 레이저 시스템(44)용의 자기 반응기 스위치 펄스 압축 및 타이밍 회로(50) 및 증폭기 레이저 시스템(48)용의 자기 반응기 스위치 펄스 압축 및 타이밍 회로(52)를 가진, 오실레이터 레이저 시스템(44)과 증폭기 레이저 시스템(48)을 갖는 마스터 오실레이터 전력 증폭기("MOPA") 구성식 듀얼 챔버형 가스 방전 레이저 시스템을 포함할 수 있다. 펄스 전력 시스템은 YAG레이저로부터 출력된 레이저를 생성하기 위한 전력을 포함할 수 있다. 시스템(20)은 또한, 레이저 빔 포지셔닝 시스템(66)과 함께 표적물 위치 탐지 피드백 시스템(62)과 파이어링 제어 시스템(65)을 포함할 수 있는 EUV광원 제어기 시스템(60)을 포함할 수 있다.
표적물 위치 탐지 시스템은, 표적 액적의 위치, 예컨대 점화 장소에 관한 입력을 제공하고 이러한 입력을 표적물 위치 탐지 피드백 시스템에 제공하는 복수의 액적 이미저(70, 72 및 74)를 포함할 수 있고, 여기서 표적물 위치 탐지 피드백 시스템은, 액적 마다의 또는 평균적으로 표적 오차가 계산될 수 있는 표적물 위치와 궤도를 계산할 수 있고, 이후, 레이저 빔의 초점을 서로 다른 점화 지점(28)으로 변경하는 레이저 위치 및 방향 변화기(68)의 위치와 방향을 제어하는데 사용될 수 있는 레이저 빔 포지셔닝 시스템(66)으로 레이저 위치 및 방향 수정 신호를 제공할 수 있는 시스템 제어기(60)에 입력으로서 제공된다.
이미저(72)는 표적물 전달 메커니즘(92)으로부터 원하는 점화 장소(28)까지 표적 액적(94)의 원하는 궤도 경로에 의해 정렬된 이미징 라인(75)을 따라 조준될 수 있고, 이미저(74 및 76)는 원하는 점화 장소(28) 앞의 경로를 따라 몇몇 지점(80)에서 원하는 궤도 경로를 따라 교차하는 교차 이미징 라인(76 및 78)을 따라 조준될 수 있다.
표적물 전달 제어 시스템(90)은 시스템 제어기(60)로부터 나온 신호에 응답하여, 원하는 점화 장소(28)에 도달하는 표적 액적의 오차에 대한 수정을 위하여 표적물 전달 메커니즘(92)에 의해 제공된 표적 액적(94)의 제공 지점을 수정할 수 있다.
중간 초점(40) 또는 그 근방에 있는 EUV광원 탐지기(100)는 유효하고도 효과적인 LPP EUV광을 발생시키기 위한 타이밍과 올바른 위치에서 표적 액적을 적당하게 가로채기 위해 레이저 펄스의 타이밍 및 초점 등의 오차를 나타낼 수 있는 피드백을 시스템 제어기(60)에 제공할 수도 있다.
도 1a를 참조하면, 도 1에서는 도시된 제어기 시스템(60) 및 관련 모니터링 및 제어 시스템(62, 64, 66)을 보다 상세하게 개략적으로 도시하고 있다. 제어기는 시스템 클록(116)에 의해 제공된 시스템 클록 신호와 상관되어 있는 표적물 위치 탐지 피드백 시스템으로부터 클록 버스(115) 위의 시스템 구성요소까지 복수의 위치 신호(134, 136), 궤도 신호(136)를 수신할 수 있다. 제어기(60)는 시스템 시간에서 몇몇 지점의 표적물의 실제 위치를 계산할 수 있는 예비 도달 트래킹 및 타이밍 시스템(110) 및 몇몇 시스템 시간에서 표적물 드롭의 실제 궤도를 계산할 수 있는 표적물 궤도 계산 시스템(112), 및 발생될 점화에 대하여 몇몇 원하는 공간 및 시간 지점과 비교하여 시간적 및 공간적 오차 신호를 계산할 수 있는 조사 장소 시간적 및 공간적 오차 계산 시스템(114)을 구비할 수 있다.
제어기(60)는 이후 시간적 오차 신호(140)를 파이어링 제어 시스템(64)에 그리고 공간적 오차 신호(138)를 레이저 빔 포지셔닝 시스템(66)에 제공할 수 있다. 파이어링 제어 시스템은 오실레이터 레이저(44)의 자기 반응기 스위치 펄스 압축 및 타이밍 회로(50)의 공진 충전기 부분(118)에 공진 충전기 개시 신호(122)를 계산하여 제공할 수 있고 PA 자기 반응기 스위치 펄스 압축 및 타이밍 회로(52)의 공진 충전기 부분(120)에 공진 충전기 개시 신호를 제공할 수 있고, 여기서 양 신호는 동일 신호일 수 있다. 파이어링 제어 시스템은 오실레이터 레이저(44)의 자기 반응기 스위치 펄스 압축 및 타이밍 회로(50)의 압축 회로 부분(126)에 트리거 신호(130)를 그리고 증폭기 레이저 시스템(48)의 자기 반응기 스위치 펄스 압축 및 타이밍 회로(52)의 압축 회로 부분(128)에 트리거 신호(132)를 제공할 수 있고, 여기서 양 신호는 동일한 신호가 아닐 수 있고, 오실레이터 레이저 시스템 및 증폭기 레이저 시스템에 대하여, 시간적 오차 신호(140)로부터 및 소광 탐지 장치(54 및 56)로부터의 입력으로부터 각각 부분적으로 계산될 수 있다.
공간적 오차 신호는 레이저 빔 위치 및 방향 제어 시스템(66)에 제공될 수 있고, 레이저 빔 위치 및 방향 제어 시스템(66)은 레이저 시스템 증폭기 레이저(48)의 레이저 출력 빔의 발사 시점에서의 출력 위치 및 조준 시점에서의 출력 위치중 어느 하나 또는 둘 다를 변경함으로써 점화 장소(28)에 대한 초점을 변경하도록 레이저를 위치시킬 수 있는 레이저 빔 포지셔너에 발사점 신호와 시선 신호를 제공할 수 있다.
도 2a 및 2b를 참조하면, 콜렉터 미러(150) 내로 보이는 콜렉터(30)의 측면 개요도와, 도 2a의 단면선 2B을 따라 있는 회전 대칭 콜렉터 미러(150) 배치의 단면도가(그러나 도 2a의 어떠한 방사상 축에 대해서도 동일할 단면도를 가질 것이다) 각각 도시되어 있다.
도 2a에 도시된 바와 같이, 타원형 콜렉터 미러(150)는 미러에서 보이는 단면이 원형이고, 이 단면은 초점(28)에 있도록 설계된 점화 지점에 표적 액적(94)이 도달하는 것을 차단하지 않도록 거의 타원형 미러(150)의 초점(28)이 되도록 도 1a에 도시된 미러의 최연장단에서의 단면일 수 있다. 그러나, 미러는 중간 초점으로 더 연장될 수 있고, (도시 안된) 미러내의 적당한 구멍에 의하여 초점으로 표적 액적을 통과시킬 수 있음을 이해할 것이다. 타원형 미러는 타원형 미러의 초점에 있도록 고안된 점화 장소(28)로, 포커싱 광학계(156)와 미러(150)를 통과하여 포커싱된 LPP레이저빔(154)의 유입을 가능하게 하기 위해 도 2a에 원형으로 도시된 아퍼추어(152)를 가질 수도 있다. 아퍼추어(152)는, 사용된 제어 시스템의 타입에 따라, 점화 장소상의 레이저빔(154)의 초점을 보정하는 빔 광로를 수정하는, 대체로 직사각형인, 빔 프로파일로 필요조건내에서 더 맞춤될 수도 있다.
도 2a 및 2b에는 또한 본 발명의 1 실시예의 1 태양에 따른 찌꺼기 실드(180)가 도시되어 있다. 찌꺼기 실드(180)는 원하는 점화 장소로부터 외부로 방사상으로 뻗어있고 찌꺼기 실드(180)를 통하여 방사상으로 뻗어있는 좁은 평면 채널(184)을 형성하는 얇은 몰리브덴 호일로 만들어진 복수의 박판(182)으로 제작될 수 있다. 도 2a의 도면은 간단하게 나타낸 것이고 치수 조정이 되어 있지 않고 실제 채널은 가능한 얇게 만들어질 수 있다. 바람직하게는 박판(182)은, 점화 장소(28)상에 포커싱된 레이저빔에 의해 표적 액적(94)의 점화로 형성된 플라즈마로부터 방출된 엑스선 광이 거의 없도록 차단하기 위해, 채널(184)보다 훨씬 얇게 제작될 수 있다.
도 2b의 단면에서 보면, 찌꺼기 실드(180)내의 채널(182)의 기능을 알 수 있다. 도 2b에 하나의 방사상 채널이 도시되어 있고 찌꺼기 실드(180)의 채널내의 찌꺼기 실드(180) 및 콜렉터 미러(150)의 회전 대칭축을 통한 임의의 콜렉터(30)의 단면은 동일하게 보일 것이다. 도 2b에 도시된 바와 같이, 점화 장소(28)로부터 외부로 방사상으로 진행하는, 점화 장소(28)로부터 방출된 EUV광(및 기타 광 에너지)의 각각의 광선(190)은, 원한다면 콜렉터 미러(150)의 반사면 끝까지 연장될 수 있는 찌꺼기 실드(180)내의 각각의 채널(182)을 통과할 것이다. 임의의 입사각에서 타원형 미러(150)의 표면에 닿을 때, 광선(190)은 도 1에 도시된 중간 초점(40)에 포커싱된 반사광(192)과 같은 채널(180)내에서 뒤로 반사될 것이다.
도 3을 참조하면, 본 발명의 1 실시예의 1 태양에 따른 대안의 실시예가 도시되어 있다. 본 실시예에서, 간소화를 위해 찌꺼기 실드(180)는 도시되어 있지 않고 본 실시예는, 도 2a 및 2b에 도시된 하나의 타원형 콜렉터 미러와 같이 이하에 보다 상세하게 논의될 적당한 찌꺼기 실드를 구비하거나 구비함이 없이 이용될 수 있다. 본 실시예에서는 2차 콜렉터 반사 미러(200)가 부가되어 있고, 이것은 중심이 점화 장소(28)에 있고, 즉 타원형 미러(150)와 동일한 초점을 갖고, 콜렉터 미러(150)에서 (도 1에 도시된) 중간 초점(40)까지의 광의 통과를 위한 아퍼추어(210)를 갖는 구형 미러(202)의 부분을 포함할 수 있다. 콜렉터 미러(150)는 점화 장소(28)에서 콜렉터 미러(150)까지 방출된 광선(190)에 대해 도 2a 및 2b에 관하여 상기한 기능을 한다. 콜렉터 미러(150)에서 멀리 떨어져 구형 미러(202)의 부분에 닿는, 점화 장소(28)에서 방출된 광선(204)은 타원형 콜렉터 미러(132)의 초점을 통과하여 되반사될 것이고, 타원형 미러(150)의 초점(28)으로부터 방출되는 것처럼 타원형 콜렉터 미러(150)를 통과하므로 중간 초점(40)에도 포커싱될 것이다. 이것은 도 2a 및 2b에 관하여 설명한 바와 같이 찌꺼기 실드(180)를 구비하거나 구비함이 없이 일어남은 명백하다.
도 4를 참조하면, 본 발명의 1 실시예에 따른 찌꺼기 관리의 또 다른 태양을 개략적으로 도시하고 있다. 도 4는 DC전압원(220)과 같은 전류원에 연결된 콜렉터 미러(150)를 도시하고 있다. 이 전류는 본 발명의 1 실시예일 수 있고 본 전류는 증착된 리튬을 증발시키기 위하여 반사기를 선택된 온도로 유지한다. 제 1 콜렉터 미러로부터 리튬을 제거하기 위한 대안의 개념은 헬륨 이온이나 수소 이온 스퍼터링을 이용하는 것이다. 낮은 에너지(<50eV)로 유지되면 이러한 이온이 소량이어도 Mo/Si층으로 제조된 EUV다층 미러에서 몰리브덴층 및/또는 실리콘층에 대하여 스퍼터율을 극도로 낮출 수 있다.
도 4를 참조하면, 본 발명의 1 실시예의 1 태양에 따른 찌꺼기 클리닝 장치가 도시되어 있다. 도 4에 도시된 바와 같이, 전류원, 예컨대 DC전압원(220)은 콜렉터 미러(150)에, 예컨대 미러(150)용의 (도시안된) 알루미늄이나 니켈등 금속 등받이에 연결될 수 있다. 따라서 미러(150)는 EUV광원 챔버(26) 내부의 성분을 구성하는 헬륨등의 주위 가스의 온도 이상의 높은 온도로 가열될 수 있다. 기타 반사기의 가열은 베셀(26)내의 (도시안된) 가열 램프로부터 복사 가열에 의해 본 발명의 대안의 실시예에 따라 일어날 수 있다.
찌꺼기 클리닝의 또 다른 태양은 도 4에 도시된 바와 같이 도 4의 챔버(26) 내에 부재번호(232)로 개략적으로 도시되어 있는 안테나 및 RF주파수 전압원(230) 으로부터 RF의 도입을 부가할 수 있다. 사실, 도 4에 도시된 DC와 함께 RF는 (도시안된) 금속 등받이나 미러(150)에 연결될 수 있고 이 경우 적당한 도전재로 만들어지고 그라운드 전위에 연결되는 (도시안된) 다크 실드가, 공기갭등의 절연재와 미러(150)에 연결되는 DC원(220)으로부터의 DC와 같은 전위에 의해 미러(150)로부터 분리된 콜렉터 미러(150)의 뒷면에 형성될 수 있다.
도 5A-C에 도시된 바와 같이, 시간(t1, t2, t3)에서의 소정의 주기적인 LPP점화에 대하여, 점화 시점(t1, t2, t3)의 짧은 시간 동안 DC전압이 RF를 대체할 수 있고, 후속의 점화 동안 DC전위의 후속의 발생이 완전히 통과되지 않았다면 적어도 점화 직후의 시간 사이에서는 RF에 의한다. 또한 DC원(220)으로부터의 DC는 RF원(230)으로부터 연속 전압과 공존하는 시점인 각각의 점화 시간 동안 포지티브 전위일 수 있고 이러한 포지티브 펄스 사이에는 네거티브 전위인 것으로 나타날 수 있다.
한편, 콜렉터 미러(150)에 인가된 전압은 리튬이나 기타 표적 금속 물질의 표적 액적의 점화시 및 점화후에 플라즈마로부터 방출된 리튬등의 금속 찌꺼기를 증발시키기 위한 것이다. 또한 리튬 표적 액적 자체내에 있는 불순물 때문에 나타나고 점화 후 콜렉터 미러(150) 표면상에 마찬가지로 증착되는 K, Fe, Na등과 같은 금속 요소가 증발될 수 있다.
RF는 콜렉터 미러(150)의 표면 근방에서 집중적 이온 플라즈마, 예컨대 여기된 He원자를 형성하여, 이러한 집중된 플라즈마로 여기된 이온이 콜렉터 미러(150)상의 리튬 원자 또는 리튬 화합물을 타격하여 미러 표면에서 스퍼터링으로 제거할 수 있도록 하기 위한 것이다. 본 발명의 이러한 실시예는 증발 메커니즘과 스퍼터링 메커니즘간의 균형을 고려하는데, 예컨대 RF가 (RF주파수 스퍼터링에 대한 연방 규칙에 규정된 바와 같이, 13.65MHz에서) 500W미만의 전력이면, 미러의 온도는 몇몇 원하는 온도로 또는 그 온도 근방의 온도로 유지되어야 하고 RF가 증가되면, 예컨대 13.65MHz에서 500W를 초과하면 온도는 따라서 줄어들어야 한다.
도 6a 및 b를 참조하면, 대안의 콜렉터 장치에 관한 본 발명의 실시예들의 태양이 도시되어 있다. 도 6a 및 b에 도시된 바와 같이, 콜렉터(225)는 도 6a의 포물형 쉘(230 및 240) 및 타원형 쉘(250 및 260)과 같은 타원형 및 포물형의 반사 쉘로 구성된 서로 다른 부분을 형성하는 복수의 포개진 쉘로 구성될 수 있다. 포물형 쉘(230 및 240)은 각각 제 1 포물형 반사면(233, 242) 및 제 2 포물형 반사면(234, 244)을 포함할 수 있다. 타원형 쉘(250 및 260)은 타원형 반사면(252 및 262)을 포함할 수 있다. 도 6b에서는 제 1 포물형 반사면(231) 및 제 2 포물형 반사면(234)을 포함하는 부분(232) 및 제 1 포물형 반사면(237), 제 2 포물형 반사면(238) 및 제 3 포물형 반사면(239)을 포함하는 부분(236)에 의한, 부가적인 2개의 포물형 쉘 부분(232 및 236)을 구비한 대안의 실시예가 도시되어 있다.
반사쉘(230, 240, 250 및 260)의 각각은 회전축(310)에 대하여 일반적으로 대칭인 쉘(230, 240, 250 및 260)이고, 대체로 콜렉터(225)의 반사 쉘의 초점에 의해 정렬된 회전축(310)으로부터 11°내지 55°까지의 구의 부분 내에서 점화 지점(21)으로부터 방출된 광의 100퍼센트를 반사시키도록 정렬된다. 예로써, 도 6a의 실시예는 필연적으로 상기한 구의 일부에 있는 모든 광이 쉘(230, 240, 250 및 260)중 적어도 하나로 들어가는 실시예를 도시하고 있다. 포물형 쉘 부분(230 및 240)의 경우에는 제 1 반사면(233, 242)상에 입사하여 중간초점(40)을 향해 반사되거나 각각의 제 2 반사면(234, 244)을 벗어나 중간 초점으로 반사된다. 타원형 쉘 부분(250, 260)의 경우는 각각의 쉘(250, 260)에 들어가는 모든 광은, 반사면(252, 262)에 의해 형성된 각각의 타원형이 점화 지점(28)에서 제 1 초점을, 중간 초점(40)에서 제 2 초점을 가지기 때문에 중간 초점으로 반사된다.
각각의 반사면(233, 234, 242, 244, 252 및 262)의 물질에 따라서, 특정 광선의 입사각, 소정의 쉘 부분(230, 240, 250 및 260)내의 반사 횟수, 특정의 평균 반사 효율이 나타날 것이고 쉘의 구성에 따라 특정한 백분율의 가용광이 각각의 부분(230, 240, 250 및 260)으로 들어가고, 따라서 도 6a에 도시된 바와 같이 65%의 전체 평균 효율 중 19%가 쉘 부분(230)에 반사 및 포커싱되고, 75%의 전체 평균 효율에서는 17%가 쉘 부분(240)에 반사 및 포커싱되고 80%의 전체 평균 효율에서는 43%가 쉘 부분(250)에 반사되고 91%의 전체 평균 효율에서는 21%가 쉘 부분(260)에 반사 및 포커싱된다.
도 6b는 포물형 쉘 부분(232, 236)을 두 개 더 부가한 대안의 실시예를 도시하고 있다. 이렇게 부가된 부분은 회전축으로부터 약 85%에 달하는 보다 많은 광을 집광하는 기능을 하고 부가된 부분 중 적어도 하나는 제 1 반사면(237), 제 2 반사면(238) 및 제 3 반사면(239)을 구비할 수 있다. 도 6b에서 볼 수 있는 바와 같이, 광원 또는 점화 지점으로부터 방출된 광선(290)은 포물형 반사 쉘 부분(236)으로 들어가고 광선(292)과 마찬가지로 제 2 반사면(238)으로 반사될 수 있고 이후 광선(294)과 같이 제 3 반사면(239)으로 반사되고 포커싱되는 광선(296)을 형성할 수 있다. 마찬가지로 광선(300)은 쉘 개구의 타단에서 포물형 반사기 쉘(236)로 들어가서 광선(320)과 같이 제 1 반사면(237)으로 그리고 광선(304)과 같이 제 2 반사면(237)으로, 그리고 포커싱되는 광선(306)과 같이 제 3 반사면의 1단으로 반사될 수 있다. 포물형 쉘 부분 중 하나, 예컨대 부분(240)의 경우 광선(280)은 이 부분(240)으로 들어가서 광선(282)과 같이 제 1 포물형 반사면(242) 그리고 포커싱되는 광선(283)과 같이 제 2 포물형 반사면의 1단으로 반사될 수 있고, 또 다른 광선(284)은 부분(240)으로 들어가서 포커싱되는 광선(286)과 같이 제 2 반사면(244)으로 반사될 수 있다. 타원형 쉘 부분 중 하나, 예컨대 부분(250)의 경우에는, 점화 지점으로부터 방출된 광선(308)은 쉘 부분(250)으로 들어가서 포커싱되는 광선(309)과 같이 타원형 반사면(252)으로 반사될 수 있고, 광선(318)은 광선(308)과 같이 반대측에서 쉘 부분(250)으로 들어가서 포커싱되는 광선(319)과 같이 반사될 수 있다.
도 7 및 8을 참조하면, (1) 단일층 루테늄 반사면 및 (2) 14nm두께의 단일 Mo층 및 4nm의 단일 Si층으로 된 Mo/Si이층 스택 및 (3) 피치 9.4nm, Mo/Si두께비 22.5:1인, 예컨대 40개의 다층 스택을 가지고, 각각이 5°및 15°의 스침 입사각을 갖는 10주기 다층 Mo/Si스택에 대한 스침 입사 반사각의 그래프가 도시되어 있다. Mo/Si를 가진 반사기의 각각에서 몰리브덴 기판을 가정한다. 스펙트럼 순도가 전달된 광에 대한 스펙의 일부가 되는 경우에는, 예컨대, 도 6a 및 b의 실시예에서 선택된 중심 파장 근방에서 반사를 돕기 위해 포개진 쉘 콜렉터의 반사 특성을 사용함으로써, 콜렉터는 몇몇 소정 대역폭 확산에 의해 특정 파장으로 튜닝될 수 있다.
도 9는 본 발명의 1 실시예의 태양들을 나타내고 있다. 본 실시예에서 콜렉터 어셈블리(330)는 점화 지점(28)에서 부터 포개진 타원형 쉘 콜렉터(334)에 있는 3개의 포개진 타원형 쉘 부분(336, 338 및 340)중 하나에 까지 발생된 광을 반사시키는, 수직 입사각 다층 스택일 수 있는 구형 미러 반사면(332)을 포함할 수 있다. 쉘 부분(336, 338 및 340)의 각각은 각각의 쉘(360, 362, 364)의 내측상에 있는 반사면(366, 368, 369)을 구비할 수 있다. 도 9에 도시된 바와 같이, 쉘 부분(336)은 구형 미러(332)의 가장자리 부분(370)으로부터 수광할 수 있고, 쉘(338)은 구형 미러(332)의 중간 부분으로부터 수광할 수 있고 쉘 부분(340)은 구형 미러(332)의 중심부로부터 반사된 광을 수광한다.
쉘 부분(336, 338 및 340)은 종래 제안된 두께의 Ru단일층 보다는 Mo/Si의 다층으로 코팅될 수 있다. 본 발명의 1 실시예의 태양에 따라, 두개의 반사가 일어나고, 도 7 및 8에서 볼 수 있는 바와 같이 그 중 하나는 구형 미러에서 생긴 것이고, 약 5° 및 15°사이의 스침각에서 타워형 반사면을 갖는 쉘에 대한 각각의 쉘내에 다른 하나가 생긴다. 이것은, 원하는 대역이 13.5라고 가정하면, 상당한 량의 대역외 EUV방사를 줄일 수 있다. Wolter타입 구성에서 Ru미러는 5° 및 15°의 스침 입사각에서 13.5nm 및 11nm에 대하여 매우 반사적인 것으로 유지되고, 한편 도 7 및 8에서 도시된 바와 같이, 스침 입사 반사각 코팅의 Mo/Si스택은 특히 15°주변에서 훨씬 선택적일 수 있다.
상기한 실시예는 당해 기술에서 제안되었던 바와 같은, 격자 스펙트럼 순도 필터의 공간적 순도를 가지지 않지만, 예컨대, 당해 기술에서 제안된 격자 필터와 같은 기타 해결책에 비해 대역내 EUV방사의 반사도와 보존차원에서 상당한 이점을 갖는다.
본 발명의 실시예의 태양에 따른 리튬 LPP EUV광원은 고체류의 액체 리튬이나 리튬 액적원을 사용할 수 있다. 액적원을 위해 액적당 원자수가 계산될 수 있고 고체류를 위해서는, 특히 포커싱된 레이저빔의 에너지 분포의 가장자리 영역의 저 에너지의 레이저에 방사되면, 찌꺼기 관점에서 고체류 내의 인접 물질 또한 찌꺼기를 형성할 수 있지만, 포커싱된 빔내에 있는 물질만이 점화에서 액적을 구성한다고 가정할 수 있다.
액적원은 포커싱된 빔에 매칭되는 액적 크기를 가지는 것이 바람직하다고 생각되기 때문에, 양 타입의 표적물 원(source)은 액적 직경(ddroplet)에 의해 주어진 동일한 액적 크기를 가지는 것으로 고려될 수 있다. 액적의 부피는 아래와 같이 주어진다.
Figure 112011010412813-pat00001
액적당 원자수를 계산하면, 예컨대 리튬의 밀도와 원자량으로부터 아래식을 따른다. 액적의 질량은:
Figure 112011010412813-pat00002
여기서,
Figure 112011010412813-pat00003
는 리튬의 밀도이다. 따라서:
Figure 112011010412813-pat00004
여기서 액적의 직경은 센티미터 단위이고 결과적인 질량은 그램 단위이다. 이후, 액적 내의 원자수는 리튬의 원자량으로 액적의 질량을 나눔으로써 얻어지고 단위를 적절히 변환하면:
Figure 112011010412813-pat00005
여기서,
Figure 112011010412813-pat00006
이다. 즉,
Figure 112011010412813-pat00007
여기서 액적의 직경은 센티미터 단위이다. 액적의 직경의 단위를 센티미터에서 마이크로미터로 변환하면:
Figure 112011010412813-pat00008
액적당 원자수 대 액적의 크기가 도 10에 도시되어 있다. 도 10에는, 예컨대 하나의 40mj펄스에 포함된 13.5nm의 광자의 수가 도시되어 있다. 40mj 펄스예는 4π스테라디안으로의 10%의 변환 효율 및 400mj의 레이저 펄스를 가정한다. 펄스당 13.5nm광자의 수는 아래와 같다.
Figure 112011010412813-pat00009
여기서 13.5nm 광자 에너지는 91.6eV이다. 결과적으로 40mj펄스에 대한 광자의 수는 2.72×1015이다. 예컨대, 50㎛의 액적은 모든 13.5nm의 광자에 대하여 하나의 리튬 원자를 가지고 있다. 보통 하나는 각각의 방출 소자로부터 방출된 다수의 광자를 가정할 수 있다. 이러한 가정에 의해 50㎛보다 작은 액적 직경을 이용할 수 있게 한다. 보다 작은 액적 직경은, 예컨대 콜렉터 광학계상에서 리튬의 사용과 리튬의 증착율이 액적 직경의 세제곱에 따라 확장하기 때문에 중요할 수 있다. 본 발명의 1 실시예의 가능한 태양에 따라 리튬 회복이 없다고 가정하면, 년간 리튬 사용량을 계산하면 년당 펄스수×펄스당량으로 주어진다. 예로서 반복율을 RR, 듀티 사이클을 DC라 하면, 결과적인 질량 사용은:
Figure 112011010412813-pat00010
즉,
Figure 112011010412813-pat00011
여기서 액적의 직경은 마이크로미터 단위이고 결과적인 질량은 그램 단위이다. 예컨대 1년 전체동안 100%의 듀티 사이클로 동작하는 50㎛의 액적 직경을 가지고 6kHz로 동작하는 리튬 회복이 없는 시스템은 6,622그램 또는 대략 12.3리터 부피의 리튬을 소모할 것이다. 마찬가지의 조건하에서 25㎛의 액적 직경은 828그램 또는 대략 1.5리터의 리튬만을 소모할 것이다.
일단 레이저 펄스로 가열되면, 리튬 액적은 전 방향으로 균일하게 퍼진다고 가정하면, 원자 유속은 레이저 액적 상호작용점(점화 장소)로부터 거리의 제곱으로 떨어질 것이다. 초당 상호작용점에서 방출된 원자의 수는 액적당 원자수×반복율이다:
Figure 112011010412813-pat00012
여기서 액적의 직경은 마이크로미터 단위이고 RR은 Hz단위의 레이저 반복율이다.
점화 장소가 중심이 된 가상 구의 표면을 통과하는 원자 유속(원자/cm2)은 전체 원자 방출 나누기 센티미터 단위의 표면적이다.
Figure 112011010412813-pat00013
결과적인 유속은 원자/cm2 단위이다. 도 11은 6kHz의 반복율과 100%의 듀티 사이클을 가정했을때, 미러 표면으로의 리튬 유입 대 몇몇 액적의 직경, 즉 (1) 25㎛, (2) 55㎛, (3) 100㎛ 및 (4) 200㎛의 미러 반경의 비율을 도시한 것이다.
높은 미러 반사도를 유지하기 위하여, 미러 표면으로의 리튬의 유입은 입사하는 헬륨 이온에 의해 야기된 리튬의 스퍼터율 만큼 초과될 수 있다. 또한, 긴 미러 수명에 대하여 이러한 동일 헬륨 이온에 의한 몰리브덴의 스퍼터율은 리튬 보다 느린 많은 크기 정도이어야 한다.
첫번째 200개의 층 쌍의 침식에 의해 여전히 충분히 유효한 100개의 양호한 층 쌍을 남기도록, 즉 여전히 고 반사도를 유지하게 하도록 하는 300개의 층 쌍을 갖는 다층 스택을 사용하는 것으로 가정함으로써 몰리브덴 대 리튬과 같은, 제 1 및 제 2 금속의 다층 코팅된 콜렉터 미러에 대한 1년간의 수명을 가능하게 하기 위해 필요한 스퍼터율을 계산할 수 있다. 또한, 제 1 금속, 예컨대 몰리브덴층 보다 훨씬 높고 따라서 미러의 수명에 무시할 수 있는 영향을 제공하는 실리콘층에 대한 스퍼터율이 가정된다.
일반적인 EUV미러는 2.76nm두께를 가진 몰리브덴과 실리콘의 이중층으로 구성될 수 있고, 따라서 200쌍의 희생 침식에 의해 이러한 미러가 수명이 다하기 전에 552nm의 몰리브덴이 침식된다. 1년간의 사용기간 동안, 몰리브덴 스퍼터율은 552nm/년, 즉 1.75×10-5nm/sec이어야 한다.
(상기 유도한 리튬 유입율과 같은) 초당 cm2당 원자에 관한 리튬 스퍼터율은, 적당히 단위 변환된 질량 밀도당 리튬의 원자 번호 밀도 및 원자량이 아래와 같이 주어지면, 리튬의 단일층의 두께에서 nm/sec로 변환한다.
Figure 112011010412813-pat00014
여기서
Figure 112011010412813-pat00015
이고
Figure 112011010412813-pat00016
이다. 결과적인 리튬에 대한 원자 번호 밀도는 4.64×1022원자/cm3이다. 리튬 원자수가 각각의 측 상에 치수 1cm의 입방으로 배열되면, cm당 모서리를 따라 있는 원자수는 원자수 밀도, 3.58×107원자/cm의 입방근이 될 것이다. 결과적인 단일층의 두께는 2.78×10-8cm 또는 0.278nm이다. 단일층 내의 cm2당 원자수는 cm당 모서리를 따라 있는 원자의 수의 제곱이다. 즉, 1.28×1015원자/cm2이다.
초당 스퍼터링에 의해 제거된 리튬의 원자 수는 식 11에서 주어진 유입율과 부합해야 한다. 따라서, 초당 제거된 단일층의 수는 유입율을 단일층내의 cm2당 원자수로 나눈 것과 같다. 두께제거율은 단일층 제거율 X 단일층의 두께이다, 즉,
Figure 112011010412813-pat00017
리튬에 대한 값을 사용하면,
Figure 112011010412813-pat00018
결과적인 단위는 nm/sec. 도 11에 도시된 리튬 유입율은 동일한 1-4액적 크기, 반복율 및 듀티 사이클에 대하여, 도 12에 도시된 필요 리튬 두께의 스퍼터율로 변환된다.
이러한 결과는 작은 액적 크기 및 큰 미러 반경에 대한 필요성을 더 강조한다. 그렇지 않으면 필요한 스퍼터율은 비실용적으로 될 수 있다.
리튬에 대한 필요한 두께 스퍼터율은, 예컨대 1년의 콜렉터 수명에 대하여, 몰리브덴에 대한 최대 허용 두께 스퍼터율에 비교될 수 있다. 최대 허용 몰리브덴 스퍼터율, 1.75×10-5nm/sec로 나누어진 도 12의 데이터는 동일한 1-4액적 크기, 반복율 및 듀티 사이클에 대하여 도 13에 도시된다.
문제는 리튬 스퍼터율 보다 10의 4자리수 이상(4 or more orders of magnitude) 작은 크기의 몰리브덴 스퍼터율을 생성하기 위해 필요한 것이다. 헬륨 이온에 의해 공격받을때 리튬과 몰리브덴에 대한 스퍼터율은 W. Eckstein, "Calculated Sputtering, Reflection and Range Values", 2002년 6월 24일, 에서 논의되고 있다. 이러한 스퍼터율 데이터 대 이온 에너지는 (3) Eth=52.7eV에서 Mo로의 리튬, (2) Eth=10.1eV에서의 Si로의 헬륨 및 (1) Li로의 헬륨의 이온 에너지에 대한 실리콘에 대한 데이터와 함께 도 14에서 도시된다. 알 수 있는 바와 같이, 적당하게 선택된 헬륨 이온 에너지가 허용가능한 리튬 스퍼터율을 일으키고 필연적으로 몰리브덴 스퍼터율은 일어나지 않을 것이다. 그러나, 입사하는 이온 에너지를 완벽하게 제어할 수 없다는 사실로부터 문제가 발생할 수 있다. 즉, 입사하는 헬륨 이온의 에너지 스펙트럼은 델타 함수가 아니다. 그것은 리튬과 몰리브덴 사이에서 차동 스퍼터링을 결정할 때 평가되어야하는 이온 에너지의 확산이다.
예컨대, J. Hopwood, "Ion Bombardment Energy Distributions in a Radio Frequency Induction Plasma," 응용 물리학 서한, Vol 62, No. 9(1999년 3월 1일), pp 940-942에서 논의되는 바와 같이 2.5eV의 FWHM에 의한 가우시안 형태의 이온 에너지 분포를 생성하는 RF유도(RFI) 플라즈마 문헌의 예가 있다.
이온 에너지 분포의 피크는 전기장 세기 및 헬륨 압력의 적당한 선택에 의해 조절될 수 있다. 20eV의 피크 이온 에너지를 선택함으로써 헬륨 이온은 리튬에 대하여 높은 스퍼터율을 갖지만, 몰리브덴 스퍼터율 쓰레시홀드 보다 낮은 안전한 에너지를 갖는다. 도 15에서 (3) 리튬, (4) 실리콘, 및 (5) 몰리브덴에 대한 스퍼터율과 함께 2.5eV의 FWHM 및 20eV를 중심으로 한 (로그 스케일로 1 및 선형 스케일로 2의) 정규 이온 에너지 분포 그래프가 도시되어 있다. 몰리브덴 스퍼터율 쓰레시홀드 이상의 에너지를 가진 헬륨 이온은 매우 적음을 알 수 있다. 이러한 조건하에서 몰리브덴의 스퍼터율을 결정하기 위해 리튬 원자의 미러 표면 청결을 유지하는데 필요한 헬륨 이온의 유입량을 계산할 필요가 있다. 헬륨 이온 에너지의 분포의 대부분은 거의 일정한 리튬 스퍼터율 영역 내에 있기 때문에, 이온당 0.2원자의 일정한 스퍼터율을 가정할 수 있다.
Figure 112011010412813-pat00019
따라서, 헬륨 이온 밀도는 도 11에서의 다양한 조건에 대해 도시된 리튬유입 밀도의 값의 5배가 되어야한다.
식 15에서 나타난 이러한 헬륨 이온의 유입량은, 예컨대 리튬이 완벽하게 균일하게 증착하지 않는다고 가정하면 최소인 것으로 간주 될 수 있다. 여기서 리튬 섬이 발생되지 않도록 보다 높은 전체 스퍼터율이 필요할 수 있다. 한편, 다른 연구에서 LPP플라즈마로부터 나온 물질의 배출은 레이저원으로 진행하는 경향이 있다는 것을 보여준다. 따라서, 콜렉터로부터 방향을 멀리하거나, 콜렉터 미러와 충돌하지 않게 이러한 찌꺼기의 대부분을 일으키는 콜렉터 미러 내의 아퍼추어를 통하여 리튬 액적을 레이저가 조명하도록 하는 시스템을 배치할 수 있다. 따라서, 미러상에 있는 전체 리튬 부하는 미러를 타격하는 전체 이론적 량에서 줄어들 수 있다.
헬륨 이온의 전체 유입을 알고 20eV 피크 및 2.5eV의 FWHM을 갖는 가우시안 에너지 분포를 가정하면, 정규화된 가우시안 분포의 적분값은
Figure 112011010412813-pat00020
이고 여기서 σ2은 FWHM에 관련된 분포 편차를 나타낸다.
Figure 112011010412813-pat00021
정규화된 가우시안의 적분값은
Figure 112011010412813-pat00022
가 되고, 따라서 헬륨 이온의 피크 전류 밀도는,
Figure 112011010412813-pat00023
가 된다.
10cm의 미러 반경을 갖는 25㎛의 액적의 경우를 고려하면, 피크 헬륨 전류 밀도는 전체 1.88×1015리튬원자/cm2을 스퍼터하기 위해 eV당 3.38×1015이온/cm2이 되어야 한다. 경험적으로 결정된 (4) 리튬, (5) 실리콘, 및 (6) 몰리브덴의 스퍼터율 및 이러한 함수의 곱×이온 전류 밀도와 함께, 이러한 헬륨 전류 밀도 분포(1)가, (2) 실리콘 스퍼터 밀도 및 (3) 리튬 스퍼터 밀도가 로그 스케일로 도 16에 도시되어 있다. 이러한 분석에 대하여 놀라울 정도로 유리한 결과는 몰리브덴에 대한 피크 스퍼터 밀도가 (그래프상에는 도시 안된) eV당 3.5×10- 205원자/cm2인 놀라울정도로 작은 값임을 보여주고 있다. 사실, 피크 실리콘 스퍼터 밀도 조차도 리튬 보다 3차 이상 작은 크기이다.
모든 헬륨 이온 에너지에 대하여 이러한 스퍼터 밀도의 적분값은 전체 스퍼터율을 나타낸다. 이러한 적분값이 도 17에서 리튬에 대하여 점선(1)으로, 실리콘에 대하여 점선(2)으로 각각 도시되어있다. 통합된 리튬 스퍼터 밀도는, 리튬 유입율과 일치하는 1.88×1015원자/cm2이다. 통합된 실리콘 스퍼터 밀도는 9.17×1010원자/cm2이다. 통합된 몰리브덴 스퍼터 밀도는 1.16×10- 205원자/cm2이다. 따라서 몰리브덴과 리튬간의 차동 스퍼터율은 매우 낮고 따라서 보다 적은 콜렉터 미러층, 예컨대 미리 예상한 300개의 베이스쌍 미러 개념보다 훨씬 작은 미러층이 사용될 필요가 있다. 하나의 몰리브덴층은 이 조건하에서 그리고 이러한 스퍼터율 모델의 가정하에서는 1년 이상 지속할 것이다. 이러한 성능은 점화 지점과 콜렉터 메인 미러 또는 메인 2차 미러 사이의 찌꺼기 실드를 사용하여 훨씬 많이 개선될 수 있지만, 이러한 결과에서 보듯, 찌꺼기 실드 또한, 적어도 리튬 표적물에 대해서는 완전히 제거될 수 있다. 상기한 것으로부터 알 수 있듯, 특히 리튬 표적물에 대한 EUV광학계로부터 나온 찌꺼기의 이러한 타입의 자극 플라즈마 유도 이온화 스퍼터링은 기타 표적물 타입, 예컨대 이동 테이프나 기타 타입의 이동 고체 목표 시스템의 사용을 가능하게 한다. 헬륨 이온 스퍼터링은 1년의 수명보다 훨씬 큰것에 대하여 충분히 낮은 비율로 몰리브덴을 스퍼터링하면서 충분한 비율로 콜렉터 미러로부터 리튬 원자를 제거하도록 배치된다.
광학계 표면에 이르지 않지만, 스퍼터링 플라즈마에 이용가능한, 그리고 헬륨 이온으로서 동일한 에너지 분포를 가진 미러 표면을 향해 가속되는 점화 플라즈마로부터 나온 찌꺼기가 형성된 리튬 이온이 있을 것이기 때문에, 리튬 이온에 의해 몰리브덴을 스퍼터링하는 것은 논의되고 있는 본 발명의 실시예에서 고려되어야 한다. 본 논문은 또한 리튬 이온을 갖는 리튬과 몰리브덴의 스퍼터율에 대한 데이터를 제공한다. 도 18에 도시된 이러한 데이터에서, 헬륨 이온에 대하여 사용된 것과 같은 동일한 정규화된 리튬 이온 에너지와 함께 Eth=36.3eV에서 리튬에 대하여 곡선 1에 나타나 있다. 리튬으로부터 몰리브덴의 스퍼터 밀도를 계산하기 위해서는 전체 리튬 이온의 유입을 알아야한다. 헬륨에 대한 이러한 계산(식 5)과 달리, 전체 리튬 유입의 정도는 명확하지 않지만, 보존 선택이 LPP점화 플라즈마에 의해 생성된 전체 리튬 원자 유입이 될 것이다. 식 17 및 25㎛액적 및 10cm의 미러 반경의 가정을 사용하면, 1.88×1015리튬 원자/cm2가 미러상에 입사할 것이고, 피크 리튬 이온 전류 밀도는 eV당 7.06×1015리튬 이온/cm2가 되고, 몰리브덴에 대한 스퍼터율로 곱해지고 전체 이온 에너지에 대하여 적분 될 때 유입 이온 에너지에서 2.5eV의 FWHM확산을 가정하면, 전체 몰리브덴 스퍼터 밀도는 2.54×10- 48원자/cm2가 된다. 이것은 헬륨 이온에 대한 것 보다는 훨씬 높지만, 1년의 가용 수명에 대해 필요한 비율보다는 훨씬 낮다.
리튬 이온을 가진 몰리브덴 스퍼터 밀도는 식 12 및 13을 사용하여 두께 손실율로 변환될 수 있다. 몰리브덴에 대하여는,
ρmoly=10.2g/cm3
Mmoly atom=95.94amu=1.59×10-22g
몰리브덴 원자수 밀도=6.40×1022원자/cm3
몰리브덴 단일층 두께=2.50×10-8cm=0.250nm
몰리브덴 단일층 원자 밀도=1.59×1015원자/cm2
따라서, 리튬 원자에 의해 공격받을 때 몰리브덴에 대한 스퍼터 두께율은 3.99×10-64nm/sec이거나 1.25×10-56nm/년이다. 이것은 또한 헬륨 이온 스퍼터링에 의해 EUV광학계의 스퍼터링 플라즈마 이온화 클리닝의 상기 유리한 결과가 몰리브덴의 리튬 스퍼터링에 의해서도 여전히 현실성이 있다는 결과를 낳는다.
부가적인 유리한 결과는, 예컨대 다층 미러상에 루테늄 캐핑층의 이전에 제안된 사용의 재고려이다. 루테늄 캐핑층은 Mo/Si스택에서 제 1 실리콘층의 EUV 보조의 산화를 방지하도록 제안되었다. 다층 미러는 보통, 몰리브덴층이 실내공기에 노출되면 급격히 산화하기 때문에 몰리브덴보다는 실리콘층에 의해 종단된다. 출원인은, EUV광학계의 스퍼터링 플라즈마 클리닝에 관한 상기 분석 이전에, 그 접근법이 취해지면 제 1 몰리브덴층의 산화를 막기 위해 제 1 실리콘층이 제 1 몰리브덴층이나 루테늄 캐핑층을 노출시키기 위하여 침식된다고 예상하여, 실리콘으로 종단된 다층 미러를 고려했다. 몰리브덴의 매우 느린 침식율, 및 마찬가지로 예상된 루테늄에 대한 낮은 침식율이 미러의 가용 수명을 지속시키는 것으로 기대되는 루테늄 캐핑층의 사용을 가능하게 한다. 이것은 제 1 실리콘층에 무손실, 및 스퍼터링된 실리콘 원자가 일으킬 수 있는 어떠한 파괴의 염려 제거, 및 노출된 몰리브덴층에 의한 산화 문제를 제거한다. 루테늄이 몰리브덴보다 높은 원자량을 가지기 때문에, 몰리브덴과 마찬가지일 거라고 예상될지라도, 리튬과 헬륨에 의한 루테늄의 스퍼터율은 결정되어야하는 것으로 유지된다.
광학 표면에서 또는 그 근방에서 원하는 스퍼터링 플라즈마를 생성하는데 필요한 최소 RF전력은 생성되는 모든 헬륨 이온이 콜렉터 미러와 충돌하는 것으로 가정함으로써 계산할 수 있고, 이것은 요구되는 RF전력보다 낮게 평가될 것이지만, 크기 정도에 대한 평가를 제공하여야 한다. 콜렉터 미러와 충돌하는 각각의 헬륨 이온은 이온화하기 위해 24.5eV가 필요하고, 본 발명의 1 실시예의 상기 예에 따라, 콜렉터 미러에 이를 때 20eV의 평균 운동 에너지를 가져야한다. 식 15로부터 9.40×1015이온/cm2의, 이러한 2 에너지값×필요한 헬륨 이온 유입량이 플라즈마 전력을 나타낸다. 에너지 단위를 eV에서 J로 변환하면 66.9mW//cm2의 최소 플라즈마 전력 밀도가 된다. 10cm반경의 미러의 표면적의 반인, 628cm2을 곱하면 최소 전체 플라즈마 전력의 42W가 된다. 대체로 1%의 플라즈마 전력만이 유효하게 사용된다고 가정하면, 이러한 전력이 방출될 수 있는 매우 큰 영역을 특히 고려하여 계산된 허용가능한 필요 플라즈마 전력은 4.2kW이다. 이러한 플라즈마 전력의 평가치를 2.4kW의 레이저 전력인, 6kHz LPP레이저 전력에서 이전에 가정한 펄스당 400mJ에 비교하고, 콜렉터 미러가 π스테라디안의 범위를 정한다고 가정하면, 이러한 레이저 전력의 반, 즉 1.2kW에 노출될 것이다. LPP로부터의 열 부하는 플라즈마 클리닝의 열 부하와 동일하다. 그 두 전력의 합계는 5.4kW이고, 이것은 8.6W/cm2의 미러상의 전력 밀도를 일으킨다. 출원인은 10W/cm2이하의 전력 밀도에 노출된 콜렉터 미러는 미러 후방을 따라 있는, 또는 그라운딩된 실드와 미러 사이에 있는 수로에 의해 쉽게 냉각된다고 믿는다.
플라즈마 전력 효율이 10%이상이면, 미러상의 전체 전력 밀도는 단지 2.6W/cm2이고, 이것은 온도 T에서 흑체로부터 제곱 미터당 방사된 전력이 아래와 같이 주어지는, 슈테판의 복사 법칙에 따라, 미러를 복사 냉각할 수 있게 한다.
Figure 112011010412813-pat00024
여기서, 온도는 켈빈 단위이고 결과적인 전력 밀도는 W/cm2 단위이고, 도 19에 도시되어 있다. 500℃를 초과하는 온도가 이러한 모든 입사 전력을 방사하기 위해 필요하고, 따라서 콜렉터 미러의 능동 냉각이 다층 스택에 대한 손상을 방지하기 위해 필요한 것으로 나타난다.
도 20을 참조하면, EUV장치 아래의 반사면 상에 코팅된 다층 반사 스택의 층으로부터 광자 제거 또는 스퍼터링으로부터 또는 EUV플라즈마 챔버에 들어가는 오염물로부터 올 수 있는 탄소 기반 분자 및/또는 탄소와 같은 반사면 상의 물질의 증착에 의해 반사도를 상실한 손상된 EUV광학계를 재생시키기 위한 본 발명의 1 실시예에 따른 장치와 방법을 개략적으로 도시하고 있다. 도 20에서 볼 수 있듯, 광화학 클리닝 장치(400)는 클리닝을 위해 콜렉터를 유지하는 콜렉터 홀딩 지그(402)와 같은 장착될 수 있는 챔버를 포함할 수 있다. 또한 DUV광원(410)과 같은 광자 에너지원을 더 포함할 수 있고, 콜렉터 홀딩 지그(402)와 광원(410)은 광원(410)에서 나온 광이, 예컨대 상기한 점화 장소(28)와 같은 콜렉터의 초점에서 점원으로부터 나오는 광을 자극하도록 배치되므로, 콜렉터(404)는 표적물 점화 장소로부터 나온 광에 의한 것처럼 비추어진다.
본 발명의 1 실시예에 따르면, 챔버(401)는 우선 N2밸브를 통해 챔버에 제공된 질소의 사용에 의해 퍼징될 수 있고, 가스 방출 밸브를 사용하여 챔버(401)로부터 배출될 수 있고, 이후 F2나 NF3분자와 같은 가스를 포함하는 불소가 도입된다. 콜렉터(404)는 이후, 약 4kHz의 펄스 반복율을 가진 약 40W의 고전력용 MOPA구성에서, 193nm의 KrF엑시머 레이저로부터 160-300nm사이의 λ 범위의 DUV광과 같은 광원에 의해 조사될 수 있다. 이것은 두번째 질소 퍼지중에 가스 방출 밸브(420)를 통하여 챔버(401)로부터 배출될 수 있는, 가스상태의 불소 기반 탄소 물질, 예컨대 CF4와 같은 물질의 제조를 촉진하는 기능을 할 수 있다.
KrF DUV광원의 대안은 시판중인 DUV램프, 예컨대 KrCl DUV램프일 수 있다.
출원인의 예상으로는 콜렉터 반사면과 같은, EUV광학계 상의 약 3.5nm 두께의 탄소 원자 증착에 의해 약 5% 정도로 반사도를 줄일 수 있고 10nm인 경우는 약 14%정도를 줄일 수 있다. 이러한 정도의 증착 두께는 소정 시간 동안 소정 농도의 불소 및 상기한 정도의 DUV광을 처리함으로써 콜렉터 광학계 반사면으로부터 제거될 것으로 예상된다. 본 처리는, 또한 클리닝 처리를 하는 동안 원하는 불소의 농도를 유지하기 위해 (도시 안된) 가스 흐름 제어 밸브에 의해 불소 공급을 재보충하는 단계를 포함할 수 있다.
본 발명의 1 실시예의 1 태양에 따라 본 출원인은 13.5nm의 EUV광 반사도에 대해 최적화된 Mo/Si반사 스택의 열적 안정도와 반사도를 개선하는데 보조하기 위해 기타 타입의 장벽 물질이 다층 반사 미러에 사용될 수 있음을 제안하고 있다. 13.5nm광에 대하여 적당한 수준의 투명도를 유지하는, Mo/Si, 대체로 MoSi2와 호환될 수 있는 매우 얇은, 예컨대 1nm의 장벽층의 유연성을 향상시키기 위해, 출원인은 ZrC, NbC, SiC를 포함하는 군에서 선택된 카바이드, ZrB2, NbB2군으로부터 선택된 붕소화물, ZrSi2, NbSi2를 포함하는 군으로부터 선택된 디실리사이드 및 BN, ZrN, NbN 및 Si3N4등의 질화물을 포함하는 상호 확산 장벽 층의 사용을 제안한다. 기타 이러한 층은 이트륨, 스칸듐, 스트론튬 화합물 및/또는 이러한 순수 금속 형태를 포함할 수 있다. 상기한 것 중, 언급된 카바이드와 붕소화물은, 이러한 금속에 의해 보다 유연한 확산 장벽층을 생성할 수 있기 때문에 바람직하다.
본 발명의 1 실시예의 태양에 따라 출원인은, MoSi2/Si, Mo2C/Si, Mo/C/Si/C 및 Mo/X/Si/X를 포함하는 다층 스택을 고려하고 있고, 여기서 첫번째 두 개는 상호 확산 장벽이 없는 보통의 Mo/Si미러 코팅에 대체로 사용되는 Mo대신 MoSi2 또는 Mo2C가 사용되는 MLM이다. 나머지 두 개는 소위 상호 확산 장벽이고, 여기서 C는 탄소를 X는 예컨대 X물질로서 상기한 붕소화물, 디실리사이드, 및 질화물과 같은 또 다른 화합물을 포함하는 적당한 물질을 나타낸다. 본 발명의 실시예에 따라 본 출원의 상호 확산 장벽층에 대하여 출원인에 따르면 질화물이 현재 바람직한 실시예이다. Mo2Si/Si는 Y. Ishii등의 논문, "Heat resistance of Mo/Si, MoSi2/Si, and Mo5Si3/Si multilayer soft x-ray mirrors", J. Appl. Phys. 78, (1995)p. 5227에 설명되어 있다.
헬륨은 EUV에 대하여 높은 투명도를 갖고, 이 때문에 90%의 전도도가 대표적인 버퍼 가스 용으로 헬륨이 적당하다. 효과적인 스퍼터링에 필요한 부분압에 기초하여, 수 mTorr의 헬륨 버퍼 가스의 전도도는 거의 100%가 된다. 가능한 콜렉터 다층 표면은 일반적인 90쌍 대신 300개의 코팅 쌍을 포함할 수 있다. 나머지 쌍은 90쌍의 미러에 비해 반사도를 향상시키지 않지만, 정상층이 부식되어 제거되면 필요한 경우 대신 이러한 여분의 층이 사용될 수 있다. 300쌍의 코팅쌍을 포함하는 미러에서 리튬과 미러간의 스퍼터율의 차이는, 단일 미러층이 한번에 수개월동안 지속하도록 높을 필요가 없다. 대신, 3개는 유지될 수 있는 미러 부식에 대하여 유용한 나머지 210개의 층 쌍일 수 있다.
LPP베셀에서 생성될 수 있는 리튬 화합물, 예컨대, LiH, LiOH, Li2CO3등은 600℃를 초과하는 용융점을 가질 수 있고 따라서 미러에서 증발될 수 없다. 이것은 특정한 경우, 미러 표면상에 증착되는 리튬 위에 크러스트를 일으킬 수도 있다. 그러나, 이것은 이온화된 He원자를 포함하는 스퍼터링 이온 플라즈마에 의해 매우 효과적으로 스퍼터링될 수 있거나 반사면에 침범하는 플라즈마로부터 방출된 고속 리튬 이온 및 원자형태로 리튬 자체에 의해 스퍼터링될 수 있다.
리튬 증착에 앞서 도달될 필요가 있는 스퍼터율은 현재의 증착 및 에칭 기계에서 대체로 수행되는 것보다 EUV광원에서 훨씬 높을 수 있고, 이것이 리튬을 미러 표면에서 떨어져 유지되도록 하는 혼합형 접근 방법이 필요한 이유의 적어도 일부이다. 본 발명의 1 실시예의 1 태양에 따라 출원인은 미러 표면에 증착된 불필요한 리튬 및 탄소 화합물을 제거하기 위해 매우 가벼운 스퍼터율을 사용하면서 리튬 벌크를 제거하기 위하여 증발법을 사용하는 것을 고려하고 있다. 그러나, 적어도 주 반사면 및 2차 반사면에 침범하는 매우 가벼운 스퍼터링 플라즈마도 마찬가지의 유리한 탄소 및 기타 리튬 화합물 제거 특성을 가질 수 있다. 조명기의 반사면 및 투사 반사면내의 중간 초점 위에 이러한 방법을 사용함으로써 리소그래피 도구 반사면에 도달하게 되는 찌꺼기를 제거하는데 유리하다는 것이 입증될 수도 있다. 리소그래피 도구 그 자체는 증착율이 보다 낮기 때문에 열적 부하 및 스퍼터링율은 이것이 유효하게 되도록 충분히 낮을 수 있다.
반사면 상에 수집되지 않는 플라즈마로부터 방출된 리튬과 함께 스퍼터링된 리튬 및 리튬 화합물은, 베셀의 내벽으로부터 그리고 콜렉터에서 중간 초점까지의 광로로부터 뻗어있는 냉각된 수냉식 핀이나 플레이트 형태로, EUV광원 베셀내에 포함된 (도시 안된) 냉각 핑거내에 트래핑될 수 있다.
소스 엘리먼트가 주석인 경우, 주석 기반의 LPP원의 콜렉터를 클리닝하기 위해 수소 플라즈마와 함께, 실온에서 증기상태인, SnH4와 같은 금속 하이드레이트를 사용할 수 있다. 수소는 13.5nm의 높은 전도도를 갖고 결과적인 SnH4는 리튬과 같은 냉각 핑거상에 트래핑되기 보다 펌핑되어 배출될 수 있다.
출원인은, 주석과 리튬 이온에 대하여 헬륨과 아르곤의 저지능을 검사했다. 도 21a 및 b에 그 결과가 도시되어 있다. 두 그래프는 스케일만 다르지 동일한 데이터를 가지고 있다. 소스 플라즈마로부터 서로 다른 측정 거리에서 주석에 대하여, 라인(500, 502 및 503)은 각각 96.5cm, 61cm 및 32.5cm이고 실선은 헬륨 버퍼를 점선은 아르곤 버퍼에 대한 것이다. 라인(506)은 헬륨에 대한 것이다. 압력*거리곱의 스케일링을 적용하면, 이러한 3세트의 주석에 대한 데이터는 대략 서로 최정상에 있을 것이다.
출원인은 또한 소정의 가스압에 대하여 헬륨보다 적어도 10배 높은 저지능을 아르곤이 갖는다고 판단했다. 또한, 헬륨은 주석보다 적은 버퍼 가스에 의해 스토핑될 수 있다. 그리고, LPP콜렉터(~10cm)의 실제 동작 거리로 스케일링하면, 아르곤에 의해서도 필요한 버퍼 압력은 주석에 대해 대략 10mT의 범위에 있을 필요가 있을 것이다. 제논과 주석은 거의 같은 원자량을 가지고 있기 때문에, 출원인은 제논 LPP에 대하여 필요한 버퍼 압력 또한 10mT의 범위에 있을 것이라고 예상한다. 이러한 높은 버퍼 가스압은 제논과 주석에 대한 EUV자체 흡수 문제를 나타낼 수 있다. 그러나 리튬에 대해서는 그러하지 않은데, 이것은 보다 낮은 버퍼 압력이 필요하고 리튬의 EUV흡수율이 낮기 때문이다.
서로 다르게 증가하는 버퍼 가스압에서 알려진 거리 내지 알려진 아퍼추어 크기에서 이온을 수집하여 측정하기 위한 패러데이 컵을 사용하여, LPP에 의해 생성된 고속 이온에 대하여 버퍼의 저지능을 계속 검사할때, 이러한 패러데이 컵 신호는 줄어들었고, 이것은 이온 저지능의 측정값을 제공한다. 주석과 리튬에 대한 결과값은 도 22a-e의 아래에 도시되어 있다. 도 22a 및 22b는 주석과 리튬 각각에 대하여 패러데이 컵 신호 대 시간을 그대로 도시한 것이다. 도 22c 및 d에서는 이러한 신호는 주석과 리튬에 대하여 각각 비행 시간(time-of-flight)을 사용하여 이온 에너지와 대비하여 도시하고 있다. 도 22e에서는 이러한 커브아래의 영역이 버퍼 가스의 압력*거리 곱에 대비하여 도시되어 있고, 하부에 도시된 선(1)은 주석에 대한 것이고 상부에 도시된 선(2)은 리튬에 대한 것이다.
출원인에 의한 이러한 분석의 놀라운 결과는 마지막 그래프가 주석과 리튬에 대한 버퍼 가스의 P*D곱 대 패러데이 컵 신호가 양 엘리먼트에 대하여 대략 동일함을 나타내고 있다는 것이다. 출원인은 이러한 분석이 패러데이 컵에 의해 포획된 이온의 손실을 실제로 측정하지 않지만, 대신 소위 이온에 의한 전자 포획이라 불리는 버퍼 가스에 의한 이온의 중화를 측정하고 있다는 점에서 이것이 설명될 수 있다고 믿는다. 이온이 중화되면, 패러데이 컵 내에는 등록되지 않을 것이다. 특히 주석 이온이 크게 충전되어, 7-11배로 이온화되고 리튬이 기껏해야 3배로 이온화된다는 것을 고려하면, 주석은 리튬보다 큰 전자 포획 단면적을 가질 수 있기 때문에 이것을 설명할 수 있다. 도 22e에 도시된 저지능의 결과는 이러한 곡선으로 예상되는 값과 다름없다는 점에서 버퍼 가스 저지능을 과대하게 평가한 것으로 간주될 수 있다.
관찰된 저지능 값을 상한으로 하면, 콜렉터 미러의 수명을 100B펄스로 연장하기 위해 필요한 아르곤 버퍼 가스압을 계산할 수 있다. 제논 LPP에 의하고 콜렉터 거리가 12cm인 모든 15M펄스에 대하여 하나의 다층 미러쌍이 부식된다고 보고한, EUV LLC에 의해 제작된 엔지니어링 테스트 스탠드(ETS)로부터의 결과와, 다층 미러의 반사도는 10층의 쌍이 제거될 때까지는 심각하게 열화하지 않는다는 가정에 의하면, ETS콜렉터 미러는 필요조건인 100B펄스와 비교하여 150M펄스의 수명을 가진다. 이것은 666X의 부식율의 감소가 필요하다는 결론을 도출한다. 도 22e의 그래프에서 대략 500mT*cm의 P*D곱이 이러한 감소 수준을 얻는데 필요할 것이다. 12cm의 작업 거리는 42mT의 아르곤 압력을 필요하게 한다. 이것은 또한, 제논 LPP에 대하여, 42mT의 버퍼 압력이, 아르곤 버퍼 내에 포획된 제논의 강한 EUV흡수 때문에 그렇게 만족스럽지 않기 때문에, 제논에 비해 리튬이 더 나은 표적물이 된다는 결론을 도출한다. 그러나, 리튬에 대해 이러한 버퍼 압력은 리튬흡수에 문제가 되지 않는다. 콜렉터 미러의 표면으로부터 SnH4의 증기압과 증발율에 따라 주석 또한 만족 할만 하다. 따라서, 비교적 큰 버퍼 가스가 필요한 것으로 보이고, 이것은 제논이 적당한 표적물이 아니고 주석이 적당한 표적물일 수 있지만, 리튬이 최상의 표적물일 수 있다는 결론을 유도한다.
출원인은 또한, 콜렉터 반사면의 가열 효율이 증기압의 공개값이 실현되기 전에, 증발되는 물질은 10개의 단일층에 대하여 특정 두께, 예컨대 50Å를 가질 필요가 있다는 사실에 의해 영향을 받을 지라도, 즉 물질, 예컨대 리튬이 미러의 표면에서 바로 증발되기 어려울 수 있다는 사실에 의해 영향을 받을지라도, 미러 표면상의 이러한 두께의 리튬의 전도도는 대략 95% 및 90%이고, 이중 통과하여, 이러한 미러상의 층은 13.5nm에서 전체 CE로부터 심각하게 손상되지는 않는다는 판단을 했다. 또한, 이러한 "무증발" 리튬층은 고속 리튬 원자 및 이온의 맹습으로부터 콜렉터 미러를 보호할 수 있다는 점에서 사실상 유리할 수 있다. 이러한 리튬층은 다층 미러의 몰리브덴층 대신 스퍼터링될 것이다. 가스이기 때문에 제논은 이러한 보호층을 형성하지 못하고, 매우 높은 EUV흡수율 때문에 주석층은 52%만을 전달한다.
대략 1keV의 이온 에너지에 대하여(스퍼터율은 이 에너지 레벨에 대하여 포화하는 경향이 있다), 몰리브덴에 대한 리튬의 스퍼터율이 몰리브덴에 대한 제논의 스퍼터율보다 훨씬 적다면,
Figure 112011010412813-pat00025
제논은 리튬보다 18배 높은 비율로 몰리브덴을 스퍼터링할 것이다. 이 차이만으로 다른 어떤 것도 변화시키지 않고 2.7B 펄스의 콜렉터 수명을 제공할 것이다. "무증발" 고정상태의 리튬의 주석층은 스퍼터율에서 나머지 37X의 감소를 제공할 수 있다. 그럼에도 불구하고, ~100의 여분의 희생층 쌍을 갖는 미러를 생산하는 EUV LLC 개념은, 리튬으로부터 보다 낮은 부식에 의해 결합되어 100B 펄스의 콜렉터 수명을 제공할 수 있는, 예컨대 27B 펄스에 10X의 수명 증가를 부가할 수 있다.
출원인은 또한 콜렉터 미러의 정전 보호 효율을 검사했다. 본 개념은 논문에서, 즉, 고에너지 이온이 미러를 향해 이동할 때 전위 우물에 올라야만 하도록 소스 LPP와 콜렉터 미러 사이에서 전기장을 발생시키기 위해 제안되었다. 이러한 전위 우물은 미러에 이르기 전에 운동 에너지 전체를 이온이 느슨하게 할 만큼 충분히 깊게 만들어질 수 있다. 실제에서, 이온들은 방향전환되어 전위 우물 아래로 되돌려 보내져, 결코 미러에 도달하지 못한다. 그러나, 출원인은, 베셀을 통하여 전기적 접속을 콜렉터 미러에 이르게 함으로써 이러한 시도가 레이저를 펄싱할 때 거의 제로로 떨어지는 표적물 바이어스 때문에 유효하지 못하고, 이것은 필요한 큰 리드 와이어와 바이어스 전압을 유지하기 위해 필요한 높은 피크의 전류의 결과가 되도록 결정되었고, 따라서 와이어의 인덕턴스를 따라 전압 전체를 떨어뜨린다는 것을 발견했다. 이러한 문제를 수정하기 위해 출원인은 진공 베셀 내부에 캐패시터를 설치했고 그라운드와 표적물 플레이트 사이에 낮은 인덕턴스의 부스 작업을 구축했다. 인덕턴스는 표적물 위 및 주위에 구리 시트를 둠으로써 측정되었고 그라운드에 부착되었다. 캐패시터를 낮은 전압으로 충전하고 표적물에 대항하여 구리 시트를 프레싱하여 캐패시터를 방전시킴으로써 출원인은 울리는 전압 파형을 측정하여 인덕턴스를 추론했다. 그 결과는 697ns 반주기 방전 파형을 가진 104nH였다. 이러한 방전 기간은 이온이 생성되어 플라즈마 영역(~20ns)을 남길 때 임계 기간 동안 바이어스가 유지될 수 있는지의 관심을 초기에 일으키는 레이저 펄스 및 후속의 EUV방출 보다 훨씬 길다. 그러나, 출원인은 이러한 짧은 기간 스케일은 중요하지 않다고 판단했다. 중요한 것은 표적물에서 미러까지의 이온의 이동 시간에 비하여 짧은 시간 스케일에서 표적물 바이어스를 유지하고 재설정하는 것이다. 본 구조에 의해, 이온의 이동 시간은 대략 2.5㎲이고, 따라서 0.7㎲의 회로의 반주기는 충분해야한다.
이러한 배치를 테스트할 때 출원인은 구리 스트랩을 사용하여 인덕턴스를 측정할때와 거의 정확히 같은 시간 스케일에서 0.47㎌ 캐패시턴스의 -1000V전위 전부가 고갈되었다는 것을 발견하고 놀랐다. 출원인은 레이저 펄스가 표적물 플레이트와 베셀 우물 사이에서 방전을 개시했다고 판단했다. 이러한 방전은 캐패시터의 고전압 단자와 그라운드간에 회로를 완성하였고, 따라서 구리 스트랩이 그 사이에서 위치되어 있었던 것처럼 캐패시터를 고갈시켰다. 명백하게, 이러한 결과는, 레이저 펄스, 플라즈마가 표적물 지점에서 생성되고 이러한 플라즈마가 베셀을 통해 다량의 하드 UV 및 EUV방사를 일으키는 동안, 및 일으킨 직후에 명확해진다. 대부분의 이러한 광자의 에너지는 베셀 내부의 금속의 일함수 이상이고 따라서 광전자가 모든 금속 표면에서 생성된다. 이러한 광자는 또한 베셀 내에 존재하는 임의의 가스 원자를 이온화하기 위하여 충분히 에너지가 높다. 이 경우 버퍼 가스로서 아르곤이 사용되고 이것은 LPP에 의해 발생된 하드 UV 및 EUV방사에 의해 쉽게 이온화된다. 그리고 최종적으로, LPP에서 전자와 이온이 생성되어 베셀의 몸체로 흘러들어간다. 이러한 이온 외에는 바이어싱된 표적물 플레이트에 부착된다. 이것이 플레이트와 충돌하여 2차 전자를 생성한다. 필연적으로, 서로 간의 전위로 유지된 두 금속 플레이트 간의 방전의 생성은, 장치가 레이저 트리거 방전 스위치인 것처럼 일어난다.
물론 효과적인 정전 반발력을 일으킬 가능성이 있지만, 이것은 다소 복잡하게 되어 실제적으로는 정전형이지 않게 된다. 핵심은 레이저 펄스의 초기 사건 후에만 존재하도록 바이어스를 펄싱하는 것이다. 수백 ns에서만 대부분의 전자가 베셀과 충돌했을 것이고, 물론 방사는 소멸될 것이다. 이때 바이어스를 인가하고 콜렉터 미러로부터 이온을 쫓아내거나 끌어당길 수 있었다.
당업자는 상기한 본 발명의 바람직한 실시예 및 그 태양이 제한을 위한 의도가 아니고 상기 실시예에 대한 기타 수정과 부가가 본 발명에서 개시한 발명의 사상과 범위를 벗어나지 않는 한도에서 가능함을 이해할 것이다. 따라서 첨부한 청구항이 상기 실시예와 태양으로 한정되는 것으로 간주되어서는 안되고 열거된 엘리먼트와 그 균등물을 클레임의 범위와 사상에 의해 포함하여야한다. 예로써, 기타 표적물 물질 및 다층 반사 코팅 금속은, 헬륨 외의 이온, 예컨대, H, N 또는 O와 같은 이온일 수 있는 광반사면의 근방에서 스퍼터링 플라즈마의 생성에 의해 유도된 이온의 스퍼터링에 의해 연속 클리닝을 가능하게 하도록 상기한 것과 같은 마찬가지의 관계를 가질 수 있다. 또한, 반사면에 대한 가열 메커니즘은 반사면으로 향해진 가열 램프일 수 있다. 기타 이러한 변화와 부가는 당업자에게 명백할 수 있다.

Claims (22)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 플라즈마 점화 지점;
    플라즈마 점화 지점에서 초점을 갖고 수직 입사각 다층 반사면을 포함하는 콜렉팅 미러;
    다층 스침 입사각 반사면을 포함하는 복수의 포개진 스침 입사각 쉘을 포함하는 포커싱 스펙트럼 필터를 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  8. 제 7 항에 있어서, 스침 입사각 반사면은 복수의 쉘 중 각각의 하나에 콜렉팅 미러에서 나온 광이 입사하는 스침 입사각의 몇몇 범위 내의 제 1 중심 파장에 대한 EUV광의 제 1 대역 및 제 2 중심 파장에 대한 EUV광의 제 2 대역을 서로 다르게 반사하도록 선택되는 것을 특징으로 하는 EUV광원 콜렉터.
  9. 제 7 항에 있어서, 콜렉팅 미러는 구형 반사면을 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  10. 제 8 항에 있어서, 콜렉팅 미러는 구형 반사면을 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  11. 플라즈마 점화 지점;
    플라즈마 점화 지점에서 제 1 초점을 그리고 EUV광원의 중간 초점에서 제 2 초점을 갖는 타원형 콜렉터 미러;
    플라즈마 점화 지점과 타원형 콜렉터 미러의 중간에 있고, 제 1 초점으로부터 그리고 제 1 초점을 통과하는 축에 대하여 대칭으로 뻗어있고 타원형 콜렉터 미러의 세로축으로 정렬된 복수의 방사상으로 뻗어있는 채널을 포함하는 찌꺼기 실드를 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  12. 제 11 항에 있어서, 복수의 채널은 제 1 초점으로부터 방사상으로 그리고 제 1 초점을 통과하는 회전축에 대하여 대칭으로 뻗어있고 콜렉터 미러의 세로축으로 정렬된 복수의 대체로 평면인 호일 사이에 형성되는 것을 특징으로 하는 EUV광원 콜렉터.
  13. 제 11 항에 있어서, 타원형 콜렉팅 미러는 레이저빔에 의해 플라즈마 점화 지점의 점화를 가능하게 하는 타원형 콜렉터 미러의 세로축상에 중심이 있는 아퍼추어를 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  14. 제 12 항에 있어서, 타원형 콜렉팅 미러는 레이저빔에 의해 플라즈마 점화 지점의 점화를 가능하게 하는 타원형 콜렉터 미러의 세로축상에 중심이 있는 아퍼추어를 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  15. 제 11 항에 있어서,
    플라즈마 점화 지점;
    플라즈마 점화 지점에서 제 1 초점을 갖는 타원형 반사면을 포함하는 제 1 콜렉팅 미러;
    플라즈마 점화 지점에 중심을 갖고 제 1 콜렉팅 미러와 충돌하지 않는 광을 수집하도록 배치되고 제 1 콜렉팅 미러의 제 1 초점을 통해 포커싱되는 제 1 콜렉팅 미러상에 이러한 광을 반사시키는 구형 미러의 부분을 포함하는 제 2 콜렉팅 미러를 더 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  16. 제 7 항에 있어서, 상기 다층 스침 입사각 반사면 중 적어도 하나는 SiC, ZrC 및 NbC 군으로부터 선택된 카바이드를 포함하는 상호 확산 장벽층을 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  17. 제 7 항에 있어서, 상기 다층 스침 입사각 반사면 중 적어도 하나는 ZrB2 및 NbB2 군으로부터 선택된 붕소화물을 포함하는 상호 확산 장벽층을 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  18. 제 7 항에 있어서, 상기 다층 스침 입사각 반사면 중 적어도 하나는 ZrSi2 및 NbSi2 군으로부터 선택된 디실리사이드를 포함하는 상호 확산 장벽층을 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  19. 제 7 항에 있어서, 상기 다층 스침 입사각 반사면 중 적어도 하나는 BN, ZrN, NbN, ScN 및 Si3N4 군으로부터 선택된 질화물을 포함하는 상호 확산 장벽층을 포함하는 것을 특징으로 하는 EUV광원 콜렉터.
  20. 삭제
  21. 삭제
  22. 삭제
KR1020117003417A 2003-04-08 2004-04-07 Euv광원용 콜렉터 KR101118996B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US10/409,254 US6972421B2 (en) 2000-06-09 2003-04-08 Extreme ultraviolet light source
US10/409,254 2003-04-08
US10/798,740 2004-03-10
US10/798,740 US7217940B2 (en) 2003-04-08 2004-03-10 Collector for EUV light source
PCT/US2004/010972 WO2004092693A2 (en) 2003-04-08 2004-04-07 Collector for euv light source

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057018039A Division KR101042177B1 (ko) 2003-04-08 2004-04-07 Euv광원용 콜렉터

Publications (2)

Publication Number Publication Date
KR20110025882A KR20110025882A (ko) 2011-03-11
KR101118996B1 true KR101118996B1 (ko) 2012-03-12

Family

ID=33302762

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020117003417A KR101118996B1 (ko) 2003-04-08 2004-04-07 Euv광원용 콜렉터
KR1020057018039A KR101042177B1 (ko) 2003-04-08 2004-04-07 Euv광원용 콜렉터

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020057018039A KR101042177B1 (ko) 2003-04-08 2004-04-07 Euv광원용 콜렉터

Country Status (6)

Country Link
US (4) US7217940B2 (ko)
EP (1) EP1615695A4 (ko)
JP (2) JP4616828B2 (ko)
KR (2) KR101118996B1 (ko)
CN (1) CN1771072B (ko)
WO (1) WO2004092693A2 (ko)

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7856044B2 (en) 1999-05-10 2010-12-21 Cymer, Inc. Extendable electrode for gas discharge laser
US7439530B2 (en) * 2005-06-29 2008-10-21 Cymer, Inc. LPP EUV light source drive laser system
US7843632B2 (en) * 2006-08-16 2010-11-30 Cymer, Inc. EUV optics
US7598509B2 (en) * 2004-11-01 2009-10-06 Cymer, Inc. Laser produced plasma EUV light source
US7671349B2 (en) * 2003-04-08 2010-03-02 Cymer, Inc. Laser produced plasma EUV light source
WO2004031854A2 (de) * 2002-09-30 2004-04-15 Carl Zeiss Smt Ag Beleuchtungssystem für eine wellenlänge ≤ 193 nm mit sensoren zur bestimmung der ausleuchtung
US7034320B2 (en) * 2003-03-20 2006-04-25 Intel Corporation Dual hemispherical collectors
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
TWI237733B (en) * 2003-06-27 2005-08-11 Asml Netherlands Bv Laser produced plasma radiation system with foil trap
JP4120502B2 (ja) 2003-07-14 2008-07-16 株式会社ニコン 集光光学系、光源ユニット、照明光学装置および露光装置
AU2003267015A1 (en) * 2003-08-27 2005-04-14 Carl Zeiss Smt Ag Oblique reflector normal incidence collector system for light sources, in particular for euv plasma discharge sources
JP2005197081A (ja) * 2004-01-07 2005-07-21 Komatsu Ltd 光源装置及びそれを用いた露光装置
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source
JP2006128157A (ja) * 2004-10-26 2006-05-18 Komatsu Ltd 極端紫外光源装置用ドライバレーザシステム
US7109503B1 (en) * 2005-02-25 2006-09-19 Cymer, Inc. Systems for protecting internal components of an EUV light source from plasma-generated debris
DE102004062289B4 (de) * 2004-12-23 2007-07-19 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Thermisch stabiler Multilayer-Spiegel für den EUV-Spektralbereich
US7145631B2 (en) * 2004-12-27 2006-12-05 Asml Netherlands B.V. Lithographic apparatus, illumination system and method for mitigating debris particles
JP2006202671A (ja) * 2005-01-24 2006-08-03 Ushio Inc 極端紫外光光源装置及び極端紫外光光源装置で発生するデブリの除去方法
US7405871B2 (en) * 2005-02-08 2008-07-29 Intel Corporation Efficient EUV collector designs
US7279690B2 (en) * 2005-03-31 2007-10-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7233010B2 (en) * 2005-05-20 2007-06-19 Asml Netherlands B.V. Radiation system and lithographic apparatus
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7365349B2 (en) * 2005-06-27 2008-04-29 Cymer, Inc. EUV light source collector lifetime improvements
US7180083B2 (en) * 2005-06-27 2007-02-20 Cymer, Inc. EUV light source collector erosion mitigation
US7141806B1 (en) * 2005-06-27 2006-11-28 Cymer, Inc. EUV light source collector erosion mitigation
US7394083B2 (en) * 2005-07-08 2008-07-01 Cymer, Inc. Systems and methods for EUV light source metrology
US7465943B2 (en) * 2005-12-08 2008-12-16 Asml Netherlands B.V. Controlling the flow through the collector during cleaning
US8536549B2 (en) * 2006-04-12 2013-09-17 The Regents Of The University Of California Light source employing laser-produced plasma
JP5076349B2 (ja) * 2006-04-18 2012-11-21 ウシオ電機株式会社 極端紫外光集光鏡および極端紫外光光源装置
JP4937643B2 (ja) * 2006-05-29 2012-05-23 株式会社小松製作所 極端紫外光源装置
US8766212B2 (en) * 2006-07-19 2014-07-01 Asml Netherlands B.V. Correction of spatial instability of an EUV source by laser beam steering
US20100033702A1 (en) * 2006-10-13 2010-02-11 Media Lario S.R.L Coated mirrors and their fabrication
JP5075389B2 (ja) 2006-10-16 2012-11-21 ギガフォトン株式会社 極端紫外光源装置
US7696492B2 (en) * 2006-12-13 2010-04-13 Asml Netherlands B.V. Radiation system and lithographic apparatus
US7875863B2 (en) * 2006-12-22 2011-01-25 Asml Netherlands B.V. Illumination system, lithographic apparatus, mirror, method of removing contamination from a mirror and device manufacturing method
US7737418B2 (en) * 2006-12-27 2010-06-15 Asml Netherlands B.V. Debris mitigation system and lithographic apparatus
US20080237498A1 (en) * 2007-01-29 2008-10-02 Macfarlane Joseph J High-efficiency, low-debris short-wavelength light sources
JP5358060B2 (ja) * 2007-02-20 2013-12-04 ギガフォトン株式会社 極端紫外光源装置
JP5086664B2 (ja) * 2007-03-02 2012-11-28 ギガフォトン株式会社 極端紫外光源装置
JP5277496B2 (ja) 2007-04-27 2013-08-28 ギガフォトン株式会社 極端紫外光源装置および極端紫外光源装置の光学素子汚染防止装置
JP5098019B2 (ja) * 2007-04-27 2012-12-12 ギガフォトン株式会社 極端紫外光源装置
US7839482B2 (en) * 2007-05-21 2010-11-23 Asml Netherlands B.V. Assembly comprising a radiation source, a reflector and a contaminant barrier
JP5341071B2 (ja) 2007-06-12 2013-11-13 コーニンクレッカ フィリップス エヌ ヴェ Euv光学部品に低下した反射率を高めるための処理をその場で施す光学装置及び方法
US8901521B2 (en) 2007-08-23 2014-12-02 Asml Netherlands B.V. Module and method for producing extreme ultraviolet radiation
US7763871B2 (en) 2008-04-02 2010-07-27 Asml Netherlands B.V. Radiation source
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
US7812329B2 (en) * 2007-12-14 2010-10-12 Cymer, Inc. System managing gas flow between chambers of an extreme ultraviolet (EUV) photolithography apparatus
JP5182917B2 (ja) * 2007-10-25 2013-04-17 国立大学法人 宮崎大学 極端紫外光源装置および極端紫外光源における付着物除去方法
US7960701B2 (en) * 2007-12-20 2011-06-14 Cymer, Inc. EUV light source components and methods for producing, using and refurbishing same
EP2083328B1 (en) * 2008-01-28 2013-06-19 Media Lario s.r.l. Grazing incidence collector for laser produced plasma sources
EP2083327B1 (en) * 2008-01-28 2017-11-29 Media Lario s.r.l. Improved grazing incidence collector optical systems for EUV and X-ray applications
US7872245B2 (en) 2008-03-17 2011-01-18 Cymer, Inc. Systems and methods for target material delivery in a laser produced plasma EUV light source
DE102008000788A1 (de) * 2008-03-20 2009-09-24 Carl Zeiss Smt Ag Beleuchtungssystem für eine Mikrolithographie-Projektionsbelichtungsanlage
JP5246916B2 (ja) * 2008-04-16 2013-07-24 ギガフォトン株式会社 Euv光発生装置におけるイオン回収装置および方法
NL1036768A1 (nl) 2008-04-29 2009-10-30 Asml Netherlands Bv Radiation source.
EP2113813B1 (en) * 2008-04-29 2012-06-27 ASML Netherlands B.V. Radiation source and lithographic apparatus
WO2009140270A2 (en) * 2008-05-13 2009-11-19 The Regents Of The University Of California System and method for light source employing laser-produced plasma
JP5061063B2 (ja) * 2008-05-20 2012-10-31 ギガフォトン株式会社 極端紫外光用ミラーおよび極端紫外光源装置
DE102008040265A1 (de) * 2008-07-09 2010-01-14 Carl Zeiss Smt Ag Reflektives optisches Element und Verfahren zu seiner Herstellung
NL2003181A1 (nl) * 2008-07-14 2010-01-18 Asml Netherlands Bv A source module of an EUV lithographic apparatus, a lithographic apparatus, and a method for manufacturing a device.
JP5511818B2 (ja) 2008-08-06 2014-06-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置用の光学素子、かかる光学素子を含むリソグラフィ装置、およびかかる光学素子を製造する方法
US8519366B2 (en) * 2008-08-06 2013-08-27 Cymer, Inc. Debris protection system having a magnetic field for an EUV light source
KR101652361B1 (ko) * 2008-08-14 2016-08-30 에이에스엠엘 네델란즈 비.브이. 방사선 소스, 리소그래피 장치 및 디바이스 제조방법
EP2157584A3 (en) * 2008-08-14 2011-07-13 ASML Netherlands B.V. Radiation source, lithographic apparatus and device manufacturing method
JP5368221B2 (ja) * 2008-09-16 2013-12-18 ギガフォトン株式会社 極端紫外光源装置
US7641349B1 (en) 2008-09-22 2010-01-05 Cymer, Inc. Systems and methods for collector mirror temperature control using direct contact heat transfer
DE102008042462B4 (de) 2008-09-30 2010-11-04 Carl Zeiss Smt Ag Beleuchtungssystem für die EUV-Mikrolithographie
US8232537B2 (en) * 2008-12-18 2012-07-31 Asml Netherlands, B.V. Radiation source, lithographic apparatus and device manufacturing method
JP5559562B2 (ja) * 2009-02-12 2014-07-23 ギガフォトン株式会社 極端紫外光光源装置
US9082521B2 (en) 2009-02-13 2015-07-14 Asml Netherlands B.V. EUV multilayer mirror with interlayer and lithographic apparatus using the mirror
FR2942801B1 (fr) * 2009-03-05 2012-03-23 Quertech Ingenierie Procede de traitement d'une piece en elastomere par des ions multi-energies he+ et he2+ pour diminuer le frottement
US8138487B2 (en) * 2009-04-09 2012-03-20 Cymer, Inc. System, method and apparatus for droplet catcher for prevention of backsplash in a EUV generation chamber
US8050380B2 (en) * 2009-05-05 2011-11-01 Media Lario, S.R.L. Zone-optimized mirrors and optical systems using same
US8237132B2 (en) * 2009-06-17 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for reducing down time of a lithography system
JP2011023712A (ja) 2009-06-19 2011-02-03 Gigaphoton Inc 極端紫外光源装置
NL2004787A (en) * 2009-06-30 2011-01-04 Asml Netherlands Bv Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter.
NL2004816A (en) * 2009-07-07 2011-01-10 Asml Netherlands Bv Euv radiation generation apparatus.
WO2011013779A1 (ja) * 2009-07-29 2011-02-03 株式会社小松製作所 極端紫外光源装置、極端紫外光源装置の制御方法、およびそのプログラムを記録した記録媒体
DE102009047712A1 (de) 2009-12-09 2011-06-16 Carl Zeiss Smt Gmbh EUV-Lichtquelle für eine Beleuchtungseinrichtung einer mikrolithographischen Projektionsbelichtungsanlage
US8330131B2 (en) * 2010-01-11 2012-12-11 Media Lario, S.R.L. Source-collector module with GIC mirror and LPP EUV light source
EP2534672B1 (en) * 2010-02-09 2016-06-01 Energetiq Technology Inc. Laser-driven light source
US9113540B2 (en) 2010-02-19 2015-08-18 Gigaphoton Inc. System and method for generating extreme ultraviolet light
US9265136B2 (en) 2010-02-19 2016-02-16 Gigaphoton Inc. System and method for generating extreme ultraviolet light
JP5687488B2 (ja) 2010-02-22 2015-03-18 ギガフォトン株式会社 極端紫外光生成装置
JP5093267B2 (ja) * 2010-03-11 2012-12-12 ウシオ電機株式会社 集光鏡アッセンブリおよびこの集光鏡アッセンブリを用いた極端紫外光光源装置
CN102782582A (zh) * 2010-03-12 2012-11-14 Asml荷兰有限公司 辐射源、光刻设备以及器件制造方法
JP5666285B2 (ja) 2010-03-15 2015-02-12 ギガフォトン株式会社 再生増幅器、レーザ装置および極端紫外光生成装置
US8587768B2 (en) * 2010-04-05 2013-11-19 Media Lario S.R.L. EUV collector system with enhanced EUV radiation collection
US8263953B2 (en) * 2010-04-09 2012-09-11 Cymer, Inc. Systems and methods for target material delivery protection in a laser produced plasma EUV light source
DE102010028655A1 (de) 2010-05-06 2011-11-10 Carl Zeiss Smt Gmbh EUV-Kollektor
JP5075951B2 (ja) * 2010-07-16 2012-11-21 ギガフォトン株式会社 極端紫外光源装置及びドライバレーザシステム
DE102010039965B4 (de) 2010-08-31 2019-04-25 Carl Zeiss Smt Gmbh EUV-Kollektor
US8399868B2 (en) * 2011-02-15 2013-03-19 Sematech Inc. Tools, methods and devices for mitigating extreme ultraviolet optics contamination
US8746975B2 (en) 2011-02-17 2014-06-10 Media Lario S.R.L. Thermal management systems, assemblies and methods for grazing incidence collectors for EUV lithography
WO2012113591A1 (en) 2011-02-24 2012-08-30 Asml Netherlands B.V. Grazing incidence reflector, lithographic apparatus, method for manufacturing grazing incidence reflector and method for manufacturing a device
JP5921876B2 (ja) * 2011-02-24 2016-05-24 ギガフォトン株式会社 極端紫外光生成装置
US8731139B2 (en) 2011-05-04 2014-05-20 Media Lario S.R.L. Evaporative thermal management of grazing incidence collectors for EUV lithography
US8993976B2 (en) * 2011-08-19 2015-03-31 Asml Netherlands B.V. Energy sensors for light beam alignment
DE102011084266A1 (de) * 2011-10-11 2013-04-11 Carl Zeiss Smt Gmbh Kollektor
US8734586B2 (en) * 2012-02-02 2014-05-27 Sematech, Inc. Process for cleaning shield surfaces in deposition systems
US8734907B2 (en) 2012-02-02 2014-05-27 Sematech, Inc. Coating of shield surfaces in deposition systems
DE102013002064A1 (de) * 2012-02-11 2013-08-14 Media Lario S.R.L. Quell-kollektor-module für euv-lithographie unter verwendung eines gic-spiegels und einer lpp-quelle
JP2013211517A (ja) * 2012-03-01 2013-10-10 Gigaphoton Inc Euv光集光装置
US8735844B1 (en) * 2012-03-26 2014-05-27 Massachusetts Institute Of Technology Compact neutron imaging system using axisymmetric mirrors
US9268031B2 (en) * 2012-04-09 2016-02-23 Kla-Tencor Corporation Advanced debris mitigation of EUV light source
US9073098B2 (en) * 2012-05-16 2015-07-07 Asml Netherlands B.V. Light collector mirror cleaning
DE102012220465A1 (de) * 2012-11-09 2014-05-15 Carl Zeiss Smt Gmbh EUV-Kollektor
US9277634B2 (en) * 2013-01-17 2016-03-01 Kla-Tencor Corporation Apparatus and method for multiplexed multiple discharge plasma produced sources
DE102013107192A1 (de) 2013-07-08 2015-01-08 Carl Zeiss Laser Optics Gmbh Reflektives optisches Element für streifenden Einfall im EUV-Wellenlängenbereich
CN104345569B (zh) * 2013-07-24 2017-03-29 中芯国际集成电路制造(上海)有限公司 极紫外光刻机光源系统及极紫外曝光方法
DE102013012956A1 (de) * 2013-08-02 2015-02-05 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Kollektoranordnung für EUV- und/oder weiche Röntgenstrahlung
DE102013218128A1 (de) * 2013-09-11 2015-03-12 Carl Zeiss Smt Gmbh Beleuchtungssystem
US9429858B2 (en) * 2013-09-24 2016-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Rotary EUV collector
HU4443U (en) * 2013-11-19 2014-11-28 Molnár Marianna Juhászné Energy-conversion equipment
DE102013223935A1 (de) * 2013-11-22 2015-05-28 Carl Zeiss Smt Gmbh Beleuchtungssystem für die EUV-Belichtungslithographie
EP3457429B1 (en) 2014-05-15 2023-11-08 Excelitas Technologies Corp. Laser driven sealed beam lamp with adjustable pressure
US9741553B2 (en) 2014-05-15 2017-08-22 Excelitas Technologies Corp. Elliptical and dual parabolic laser driven sealed beam lamps
US10186416B2 (en) 2014-05-15 2019-01-22 Excelitas Technologies Corp. Apparatus and a method for operating a variable pressure sealed beam lamp
TWI598633B (zh) * 2014-08-05 2017-09-11 佳能股份有限公司 光源設備,照明裝置,曝光設備,及裝置製造方法
CN105573060B (zh) * 2014-10-16 2017-12-01 中芯国际集成电路制造(上海)有限公司 Euv光源和曝光装置、校准装置和校准方法
KR102269695B1 (ko) * 2015-03-19 2021-06-25 삼성전자주식회사 극자외선 광 생성 장치
US10008378B2 (en) 2015-05-14 2018-06-26 Excelitas Technologies Corp. Laser driven sealed beam lamp with improved stability
US9576785B2 (en) 2015-05-14 2017-02-21 Excelitas Technologies Corp. Electrodeless single CW laser driven xenon lamp
US10057973B2 (en) 2015-05-14 2018-08-21 Excelitas Technologies Corp. Electrodeless single low power CW laser driven plasma lamp
KR102369935B1 (ko) * 2015-08-31 2022-03-03 삼성전자주식회사 드립 홀을 갖는 콜렉팅 미러를 포함하는 euv 광 발생 장치
WO2017090126A1 (ja) * 2015-11-25 2017-06-01 ギガフォトン株式会社 極端紫外光生成装置
WO2017154111A1 (ja) 2016-03-08 2017-09-14 ギガフォトン株式会社 極端紫外光生成装置
JP2016154156A (ja) * 2016-04-28 2016-08-25 ギガフォトン株式会社 極端紫外光生成装置
DE102016213831A1 (de) 2016-07-27 2018-02-01 Carl Zeiss Smt Gmbh Reflektives optisches Element für die EUV-Lithographie
US10109473B1 (en) 2018-01-26 2018-10-23 Excelitas Technologies Corp. Mechanically sealed tube for laser sustained plasma lamp and production method for same
KR20200133740A (ko) * 2018-03-27 2020-11-30 에이에스엠엘 네델란즈 비.브이. Euv 광원에서 부스러기를 제어하기 위한 장치 및 방법
JPWO2021060253A1 (ko) * 2019-09-26 2021-04-01
CN111736433A (zh) * 2020-07-10 2020-10-02 中国科学院上海光学精密机械研究所 基于椭球面镜-球面镜组合光收集的lpp-euv光源系统
KR20220044006A (ko) 2020-09-29 2022-04-06 삼성전자주식회사 극자외선 광원 시스템
WO2024049870A1 (en) * 2022-08-31 2024-03-07 Tae Technologies, Inc. Integrated lithium target

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010006660A (ko) * 1999-02-19 2001-01-26 브라이언 알. 바흐맨 이온주입기에서 가변 개구를 작동하기 위한 방법 및 시스템
KR20020009504A (ko) * 2000-07-25 2002-02-01 브라이언 알. 바흐맨 이온 빔 가이드 내 플라즈마의 마이크로파 여기를 위한도파관
KR20020018957A (ko) * 2000-09-04 2002-03-09 에이에스엠 리소그라피 비.브이. 리소그래피 투영장치, 디바이스 제조방법 및 그것에의하여 제조된 디바이스

Family Cites Families (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2759106A (en) * 1951-05-25 1956-08-14 Wolter Hans Optical image-forming mirror system providing for grazing incidence of rays
US3279176A (en) * 1959-07-31 1966-10-18 North American Aviation Inc Ion rocket engine
US3150483A (en) * 1962-05-10 1964-09-29 Aerospace Corp Plasma generator and accelerator
US3232046A (en) * 1962-06-06 1966-02-01 Aerospace Corp Plasma generator and propulsion exhaust system
US3746870A (en) * 1970-12-21 1973-07-17 Gen Electric Coated light conduit
US3969628A (en) * 1974-04-04 1976-07-13 The United States Of America As Represented By The Secretary Of The Army Intense, energetic electron beam assisted X-ray generator
US4042848A (en) * 1974-05-17 1977-08-16 Ja Hyun Lee Hypocycloidal pinch device
US3946332A (en) * 1974-06-13 1976-03-23 Samis Michael A High power density continuous wave plasma glow jet laser system
US3961197A (en) * 1974-08-21 1976-06-01 The United States Of America As Represented By The United States Energy Research And Development Administration X-ray generator
US3960473A (en) * 1975-02-06 1976-06-01 The Glastic Corporation Die structure for forming a serrated rod
US4162160A (en) * 1977-08-25 1979-07-24 Fansteel Inc. Electrical contact material and method for making the same
US4143275A (en) * 1977-09-28 1979-03-06 Battelle Memorial Institute Applying radiation
US4203393A (en) * 1979-01-04 1980-05-20 Ford Motor Company Plasma jet ignition engine and method
US4538291A (en) * 1981-11-09 1985-08-27 Kabushiki Kaisha Suwa Seikosha X-ray source
US4408338A (en) * 1981-12-31 1983-10-04 International Business Machines Corporation Pulsed electromagnetic radiation source having a barrier for discharged debris
US4536884A (en) * 1982-09-20 1985-08-20 Eaton Corporation Plasma pinch X-ray apparatus
US4633492A (en) * 1982-09-20 1986-12-30 Eaton Corporation Plasma pinch X-ray method
US4504964A (en) * 1982-09-20 1985-03-12 Eaton Corporation Laser beam plasma pinch X-ray system
US4618971A (en) * 1982-09-20 1986-10-21 Eaton Corporation X-ray lithography system
DE3332711A1 (de) * 1983-09-10 1985-03-28 Fa. Carl Zeiss, 7920 Heidenheim Vorrichtung zur erzeugung einer plasmaquelle mit hoher strahlungsintensitaet im roentgenbereich
JPS60175351A (ja) * 1984-02-14 1985-09-09 Nippon Telegr & Teleph Corp <Ntt> X線発生装置およびx線露光法
US4837794A (en) * 1984-10-12 1989-06-06 Maxwell Laboratories Inc. Filter apparatus for use with an x-ray source
US4770479A (en) * 1985-07-01 1988-09-13 Raytheon Company Optical elements having buried layers and method of manufacture
US4626193A (en) * 1985-08-02 1986-12-02 Itt Corporation Direct spark ignition system
CA1239487A (en) * 1985-10-03 1988-07-19 National Research Council Of Canada Multiple vacuum arc derived plasma pinch x-ray source
CA1239486A (en) * 1985-10-03 1988-07-19 Rajendra P. Gupta Gas discharge derived annular plasma pinch x-ray source
JPH0797159B2 (ja) * 1986-10-01 1995-10-18 キヤノン株式会社 軟x線・真空紫外線用多層膜反射鏡
JP2831349B2 (ja) * 1986-12-25 1998-12-02 キヤノン株式会社 X線又は真空紫外線用多層膜反射鏡
US5310603A (en) * 1986-10-01 1994-05-10 Canon Kabushiki Kaisha Multi-layer reflection mirror for soft X-ray to vacuum ultraviolet ray
JP2648599B2 (ja) * 1987-10-06 1997-09-03 キヤノン株式会社 X線又は真空紫外線用多層膜反射鏡の作成方法
JP2692881B2 (ja) * 1988-08-17 1997-12-17 キヤノン株式会社 軟x線又は真空紫外線用多層膜の製造方法ならびに光学素子
DE3927089C1 (ko) * 1989-08-17 1991-04-25 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
US5102776A (en) * 1989-11-09 1992-04-07 Cornell Research Foundation, Inc. Method and apparatus for microlithography using x-pinch x-ray source
US5027076A (en) * 1990-01-29 1991-06-25 Ball Corporation Open cage density sensor
US5126638A (en) * 1991-05-13 1992-06-30 Maxwell Laboratories, Inc. Coaxial pseudospark discharge switch
US5142166A (en) * 1991-10-16 1992-08-25 Science Research Laboratory, Inc. High voltage pulsed power source
JPH0816720B2 (ja) * 1992-04-21 1996-02-21 日本航空電子工業株式会社 軟x線多層膜反射鏡
US5411224A (en) * 1993-04-08 1995-05-02 Dearman; Raymond M. Guard for jet engine
US5313481A (en) * 1993-09-29 1994-05-17 The United States Of America As Represented By The United States Department Of Energy Copper laser modulator driving assembly including a magnetic compression laser
US5448580A (en) * 1994-07-05 1995-09-05 The United States Of America As Represented By The United States Department Of Energy Air and water cooled modulator
US5504795A (en) * 1995-02-06 1996-04-02 Plex Corporation Plasma X-ray source
WO1996025778A1 (en) * 1995-02-17 1996-08-22 Cymer Laser Technologies Pulse power generating circuit with energy recovery
US5830336A (en) * 1995-12-05 1998-11-03 Minnesota Mining And Manufacturing Company Sputtering of lithium
US5963616A (en) * 1997-03-11 1999-10-05 University Of Central Florida Configurations, materials and wavelengths for EUV lithium plasma discharge lamps
US6031241A (en) * 1997-03-11 2000-02-29 University Of Central Florida Capillary discharge extreme ultraviolet lamp source for EUV microlithography and other related applications
US5936988A (en) * 1997-12-15 1999-08-10 Cymer, Inc. High pulse rate pulse power system
US6172324B1 (en) * 1997-04-28 2001-01-09 Science Research Laboratory, Inc. Plasma focus radiation source
US5866871A (en) * 1997-04-28 1999-02-02 Birx; Daniel Plasma gun and methods for the use thereof
US6744060B2 (en) * 1997-05-12 2004-06-01 Cymer, Inc. Pulse power system for extreme ultraviolet and x-ray sources
US6566667B1 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with improved pulse power system
US6064072A (en) * 1997-05-12 2000-05-16 Cymer, Inc. Plasma focus high energy photon source
US6815700B2 (en) * 1997-05-12 2004-11-09 Cymer, Inc. Plasma focus light source with improved pulse power system
US6586757B2 (en) * 1997-05-12 2003-07-01 Cymer, Inc. Plasma focus light source with active and buffer gas control
US6452199B1 (en) * 1997-05-12 2002-09-17 Cymer, Inc. Plasma focus high energy photon source with blast shield
US6541786B1 (en) * 1997-05-12 2003-04-01 Cymer, Inc. Plasma pinch high energy with debris collector
US5763930A (en) * 1997-05-12 1998-06-09 Cymer, Inc. Plasma focus high energy photon source
US6566668B2 (en) * 1997-05-12 2003-05-20 Cymer, Inc. Plasma focus light source with tandem ellipsoidal mirror units
US5958605A (en) * 1997-11-10 1999-09-28 Regents Of The University Of California Passivating overcoat bilayer for multilayer reflective coatings for extreme ultraviolet lithography
US6285743B1 (en) * 1998-09-14 2001-09-04 Nikon Corporation Method and apparatus for soft X-ray generation
WO2000025322A1 (en) * 1998-10-27 2000-05-04 Jmar Technology Co. Shaped source of soft x-ray, extreme ultraviolet and ultraviolet radiation
US6178221B1 (en) * 1998-12-04 2001-01-23 Advanced Micro Devices, Inc. Lithography reflective mask
US6493323B1 (en) * 1999-05-14 2002-12-10 Lucent Technologies Inc. Asynchronous object oriented configuration control system for highly reliable distributed systems
US6377651B1 (en) * 1999-10-11 2002-04-23 University Of Central Florida Laser plasma source for extreme ultraviolet lithography using a water droplet target
US6831963B2 (en) * 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6493423B1 (en) 1999-12-24 2002-12-10 Koninklijke Philips Electronics N.V. Method of generating extremely short-wave radiation, method of manufacturing a device by means of said radiation, extremely short-wave radiation source unit and lithographic projection apparatus provided with such a radiation source unit
US6195272B1 (en) * 2000-03-16 2001-02-27 Joseph E. Pascente Pulsed high voltage power supply radiography system having a one to one correspondence between low voltage input pulses and high voltage output pulses
DE10016008A1 (de) * 2000-03-31 2001-10-11 Zeiss Carl Villagensystem und dessen Herstellung
US6647086B2 (en) * 2000-05-19 2003-11-11 Canon Kabushiki Kaisha X-ray exposure apparatus
US6972421B2 (en) * 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
US6904073B2 (en) * 2001-01-29 2005-06-07 Cymer, Inc. High power deep ultraviolet laser with long life optics
JP2002006096A (ja) * 2000-06-23 2002-01-09 Nikon Corp 電磁波発生装置、これを用いた半導体製造装置並びに半導体デバイスの製造方法
TWI232356B (en) * 2000-09-04 2005-05-11 Asml Netherlands Bv Lithographic projection apparatus, device manufacturing method and device manufactured thereby
US20020171922A1 (en) * 2000-10-20 2002-11-21 Nikon Corporation Multilayer reflective mirrors for EUV, wavefront-aberration-correction methods for same, and EUV optical systems comprising same
IT1316249B1 (it) 2000-12-01 2003-04-03 Enea Ente Nuove Tec Procedimento di abbattimento del flusso di ioni e di piccoli detritiin sorgenti di raggi-x molli da plasma, tramite l'uso di kripton.
US6392792B1 (en) * 2000-12-05 2002-05-21 The Regents Of The University Of California Method of fabricating reflection-mode EUV diffraction elements
US6576912B2 (en) * 2001-01-03 2003-06-10 Hugo M. Visser Lithographic projection apparatus equipped with extreme ultraviolet window serving simultaneously as vacuum window
WO2002059905A2 (de) * 2001-01-26 2002-08-01 Carl Zeiss Smt Ag Schmalbandiger spektralfilter und seine verwendung
US6804327B2 (en) * 2001-04-03 2004-10-12 Lambda Physik Ag Method and apparatus for generating high output power gas discharge based source of extreme ultraviolet radiation and/or soft x-rays
US6396900B1 (en) * 2001-05-01 2002-05-28 The Regents Of The University Of California Multilayer films with sharp, stable interfaces for use in EUV and soft X-ray application
TW505978B (en) 2001-09-07 2002-10-11 United Microelectronics Corp Residue-free bi-layer lithographic process
DE10151080C1 (de) * 2001-10-10 2002-12-05 Xtreme Tech Gmbh Einrichtung und Verfahren zum Erzeugen von extrem ultravioletter (EUV-)Strahlung auf Basis einer Gasentladung
TW511139B (en) 2001-12-28 2002-11-21 United Microelectronics Corp Apparatus for on-line cleaning a wafer chuck with laser
US6635844B2 (en) * 2002-01-03 2003-10-21 United Microelectronics Corp. Apparatus for on-line cleaning a wafer chuck with laser
US6968850B2 (en) * 2002-07-15 2005-11-29 Intel Corporation In-situ cleaning of light source collector optics
TWI251117B (en) * 2002-12-20 2006-03-11 Asml Netherlands Bv Method for cleaning a surface of a component of a lithographic projection apparatus, lithographic projection apparatus, device manufacturing method and cleaning system
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
US7193228B2 (en) * 2004-03-10 2007-03-20 Cymer, Inc. EUV light source optical elements
US7087914B2 (en) * 2004-03-17 2006-08-08 Cymer, Inc High repetition rate laser produced plasma EUV light source

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010006660A (ko) * 1999-02-19 2001-01-26 브라이언 알. 바흐맨 이온주입기에서 가변 개구를 작동하기 위한 방법 및 시스템
KR20020009504A (ko) * 2000-07-25 2002-02-01 브라이언 알. 바흐맨 이온 빔 가이드 내 플라즈마의 마이크로파 여기를 위한도파관
KR20020018957A (ko) * 2000-09-04 2002-03-09 에이에스엠 리소그라피 비.브이. 리소그래피 투영장치, 디바이스 제조방법 및 그것에의하여 제조된 디바이스

Also Published As

Publication number Publication date
US7217940B2 (en) 2007-05-15
JP4616828B2 (ja) 2011-01-19
EP1615695A4 (en) 2010-04-07
WO2004092693A3 (en) 2005-05-19
US20070114468A1 (en) 2007-05-24
US7288777B2 (en) 2007-10-30
KR101042177B1 (ko) 2011-06-16
KR20050111619A (ko) 2005-11-25
CN1771072A (zh) 2006-05-10
US20070114470A1 (en) 2007-05-24
US7309871B2 (en) 2007-12-18
JP2007298980A (ja) 2007-11-15
US7288778B2 (en) 2007-10-30
US20070114469A1 (en) 2007-05-24
EP1615695A2 (en) 2006-01-18
KR20110025882A (ko) 2011-03-11
US20060131515A1 (en) 2006-06-22
WO2004092693A2 (en) 2004-10-28
JP2006523038A (ja) 2006-10-05
CN1771072B (zh) 2011-06-01

Similar Documents

Publication Publication Date Title
KR101118996B1 (ko) Euv광원용 콜렉터
US8519366B2 (en) Debris protection system having a magnetic field for an EUV light source
US6452199B1 (en) Plasma focus high energy photon source with blast shield
US7368741B2 (en) Extreme ultraviolet light source
JP5006977B2 (ja) Euv光源用コレクタ
US7394083B2 (en) Systems and methods for EUV light source metrology
EP0981936A1 (en) Plasma focus high energy photon source
US20030006383A1 (en) Plasma focus light source with improved pulse power system
KR20000076846A (ko) 플라즈마 초점 고에너지 포톤 소스
WO2007002386A2 (en) Euv light source collector lifetime improvements
US9632419B2 (en) Radiation source
TWI222248B (en) Extreme ultraviolet light source
Kubiak et al. High‐power laser plasma sources: Soft x‐ray projection lithography and other applications
Lin et al. EUV Source System Development for 22nm Generation and Beyond

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150206

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee