KR100924841B1 - 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막디바이스의 제조 방법 및 프로그램을 기록한 기록 매체 - Google Patents

기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막디바이스의 제조 방법 및 프로그램을 기록한 기록 매체 Download PDF

Info

Publication number
KR100924841B1
KR100924841B1 KR1020060015273A KR20060015273A KR100924841B1 KR 100924841 B1 KR100924841 B1 KR 100924841B1 KR 1020060015273 A KR1020060015273 A KR 1020060015273A KR 20060015273 A KR20060015273 A KR 20060015273A KR 100924841 B1 KR100924841 B1 KR 100924841B1
Authority
KR
South Korea
Prior art keywords
film
insulating film
thickness
mixed gas
forming
Prior art date
Application number
KR1020060015273A
Other languages
English (en)
Other versions
KR20060022726A (ko
Inventor
에이이치 니시무라
겐야 이와사키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20060022726A publication Critical patent/KR20060022726A/ko
Application granted granted Critical
Publication of KR100924841B1 publication Critical patent/KR100924841B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Electromagnetism (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 고체 촬상 소자의 제조 방법은, 고체 촬상 소자의 기판이 구비하는 절연막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와, 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 단계를 포함한다. 이 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.

Description

기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막 디바이스의 제조 방법 및 프로그램을 기록한 기록 매체{SUBSTRATE PROCESSING METHOD, SOLID STATE IMAGING DEVICE MANUFACTURING METHOD, THIN FILM DEVICE MANUFACTURING METHOD, AND PROGRAM RECORDING MEDIUM}
도 1은 본 발명의 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 개략 구성을 도시하는 평면도,
도 2a 및 도 2b는 도 1에 있어서의 제 2 프로세스 장치의 단면도이며, 도 2a는 도 1에 있어서의 선 Ⅱ-Ⅱ에 따른 단면도이며, 도 2b는 도 2a에 있어서의 A 부분의 확대도,
도 3은 도 1에 있어서의 제 2 프로세스 장치의 개략 구성을 도시하는 사시도,
도 4는 도 3에 있어서의 제 2 로드록 유닛의 유닛 구동용 드라이 에어 공급계의 개략 구성을 도시한 도면,
도 5는 도 1의 기판 처리 장치에 있어서의 시스템 컨트롤러의 개략 구성을 도시한 도면,
도 6a 및 도 6b는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 CCD 센 서의 개략 구성을 도시한 도면이며, 도 6a는 CCD 센서에 있어서 웨이퍼(W)상의 소자를 설명하는 도면이며, 도 6b는 CCD 센서의 부분 단면도,
도 7a 내지 도 7e는 본 실시 형태에 따른 기판의 처리 방법을 도시하는 공정도,
도 8은 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 1 변형예의 개략 구성을 도시하는 평면도,
도 9는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 2 변형예의 개략 구성을 도시하는 평면도.
<도면의 주요부분에 대한 부호의 설명>
10 : 기판 처리 장치 11 : 제 1 프로세스 장치
12 : 제 2 프로세스 장치 13 : 로더 유닛
19 : 반송 암 기구 21, 23 : 탑재대
22, 24 : 광학 센서 25 : 제 1 프로세스 유닛
30 : 게이트 밸브 34 : 제 2 프로세스 유닛
38 : 챔버 39 : ESC
40 : 샤워 헤드 50 : 처리실 용기(챔버)
51 : 스테이지 히터 77 : 유닛 구동용 드라이 에어 공급계
80 : 제 1 솔레노이드 밸브 81 : 제 2 솔레노이드 밸브
200 : CCD 센서 210 : 광전 변환 소자
222 : 층간 절연막 223 : 차광막
252 : 실리콘 질화막 253 : 평탄화막
259 : 마이크로 렌즈 261 : 절연막
262 : 생성물층
본 발명은 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막 디바이스의 제조 방법, 및 프로그램을 기록한 기록 매체에 관한 것이며, 특히 절연막을 화학기계 연마법에 의해 연마하는 기판의 처리 방법에 관한 것이다.
전자 디바이스, 예컨대 CCD 센서 등의 고체 촬상 소자에 있어서의 칼라 필터의 제조 방법으로서는 특히 칼라 레지스트법이 널리 실용화되어 있다.
칼라 필터의 형성에 있어서, 예컨대 녹색, 적색, 청색의 순서로 칼라 필터의 형성을 실시했을 경우, 후에 형성하는 적색 또는 청색의 칼라 필터는 이전에 형성되는 칼라 필터의 영향으로 막 두께에 경사가 생긴다. 이 때문에, 칼라 필터를 원하는 막 두께로 형성하는 것이 곤란해서, 막 두께 제어성이 없다. 또한, 1개의 선형 센서내 또는 다면화된 선형 센서 사이에 있어서, 칼라 필터의 막 두께에 불균형이 생기는 경우가 있고, 고체 촬상 소자에 있어서, 거시적으로 칼라 필터의 막 두께의 균일성이 악화되고, 노이즈나 감도 불균일이 발생하여 라인 센서로서의 특성을 현저하게 열화시키는 원인이 되고 있었다.
상술한 문제를 해결하기 위해서, 종래 제 2 색째 및 제 3 색째에 형성하는 제 2 및 제 3 칼라 필터의 막 두께를 제 1 색째에 형성하는 제 1 칼라 필터의 막 두께의 1.3배 이상으로 함으로써, 유효 화소내에 있어서 제 2 및 제 3 칼라 필터의 막 두께로 구배가 생기지 않고, 각 화소의 주연부에 있어서 제 1 칼라 필터와 중복되어도, 중복 부분과 화소 중앙 부분의 막 두께차의 발생을 억제할 수 있는 칼라 필터의 제조 방법이 개시되어 있다(예컨대, 일본 특허 공개 제 2004-311557 호 공보 참조).
그러나, 최근 고체 촬상 소자에 있어서는, 화소수의 증가에 따라, 화소 사이즈가 축소되고 있고, 칼라 필터 어레이의 미세화 기술이 필수가 되고 있다. 또한, 화소 사이즈의 축소에 대응해서 칼라 필터의 박막화도, 고체 촬상 소자의 집광성을 향상시키기 위해서 필수가 되고 있다.
상술의 종래의 칼라 필터의 제조 방법은 10㎛의 라인 폭을 상정해서 칼라 필터 어레이를 구획하고 있기 때문에, 예를 들면 칼라 필터 어레이를 1㎛ 이하의 라인 폭으로 구획하는 것은 구조적으로 곤란해서, 고체 촬상 소자를 보다 미세화하는 것이 곤란했다.
칼라 레지스트법에 있어서, 칼라 필터를 박막화하기 위해서는, 도포하는 색소함유 감광성 수지 조성물에 있어서, 감광성 수지 조성물에 대한 색소의 함유비를 가능한 한 높게 하는 것이 효과적이라는 것이 종래부터 알려져 있다.
그러나, 색소로서의 염료의 함유비를 50% 가까이로 하면, 노광 및 현상에 의해 원하는 패턴 형상을 얻을 수는 있지만, 수지 조성물을 열경화시키는 것이 어려워진다. 칼라 필터에는 수지 조성물에 포함되는 용제에 대한 내용제성이 요구되고 있고, 종래의 제조 방법에 있어서는, 수지 조성물을 열경화시킴으로써 칼라 필터에 내용제성을 부여하고 있지만, 수지 조성물의 열경화를 실행하지 않으면 칼라 필터의 내용제성이 악화하고, 다음 공정에서 다른 색의 칼라 필터를 형성하기 위한 수지 조성물을 도포할 수 없게 된다. 또한, 충분한 내용제성을 갖게 하기 위해서, 보다 고온(예를 들면 200 ℃ 이상)에서 열경화시키면, 칼라 필터가 리플로우하거나, 열에 의해 염료가 화학적으로 변화되고, 칼라 필터가 본래의 분광 특성을 나타내지 않게 될 경우가 있다.
이 문제를 해결하기 위해서, 수지 조성물을 도포해서 칼라 필터를 형성하고, 각 칼라 필터상에 실리콘 산화막(SiO2) 등의 절연막인 보호막을 형성하는 칼라 필터의 제조 방법이 개시되어 있다(예컨대, 일본 특허 공개 제 2003-75625 호 공보 참조). 이에 의해, 고온의 열 처리에 의해 수지 조성물의 도포막을 열경화시키지 않아도, 보호막의 존재에 의해 칼라 필터의 내용제성을 높게 하는 것이 가능하고, 또한 고온의 열 처리를 실행하지 않기 위해서 칼라 필터중의 색소의 함유비를 높게 할 수 있으므로, 칼라 필터를 박막화할 수 있다.
그러나, 상술의 칼라 필터의 제조 방법에 있어서는, 칼라 필터를 박막화하는 것은 가능하지만, 칼라 필터상에 보호막으로서 막 두께 대략 50㎚의 SiO2층을 형성하기 위해서 저온 플라즈마 CVD 공정이 필요하게 되고, 제조 시간(TAT)이 길어진다는 문제가 있다.
또한, 종래의 칼라 필터의 제조 방법에 있어서는, 도포한 수지 조성물에 자외선을 조사해서 불필요한 감광제 등의 광분해(브리칭(breaching))를 실행하고, 또한 열 처리에 의해 수지 조성물을 열경화시키고 있지만, 열경화에 의한 수지 조성물의 수축률을 제어하는 것은 곤란하기 때문에, 열 처리 마다 칼라 필터의 막 두께의 오차가 발생한다. 칼라 필터의 막 두께의 오차는 고체 촬상 장치에 있어서 광축을 갖지 않게 되는 등의 원인이 되기 때문에, 색 불균일이나 화상 불균일의 발생의 원인이 되고 있다.
또한, 종래의 고체 촬상 소자에는, 절연막인 평탄화막상에 형성된 칼라 필터 위로 보호막을 거쳐서 마이크로 렌즈를 구비하는 것이 있다. 수광부(광전 변환 소자)로부터 마이크로 렌즈까지의 거리가 길 경우는, 즉 광전 변환 소자와 마이크로 렌즈 사이의 두께가 두꺼울 경우는, 경사짐 입사광이 전극 등으로 되는 볼록부에 의해 차폐되게 되고, 고체 촬상 소자의 집광성을 저하시킨다. 따라서, 광전 변환 소자와 마이크로 렌즈의 사이를 얇게 하는 것이 요구된다. 한편, 화면의 색조에 있어서의 고화질화의 요구가 있고, 그것에 따라 칼라 필터의 투과 색분광 특성에 대하여도 또한 고품질화를 도모할 필요가 있다. 이 때문에, 색상의 질의 향상을 도모할 필요가 있고, 칼라 필터의 막 두께를 두껍게 하는 것으로 색상의 질을 향상할 수 있다. 그런데, 칼라 필터의 막 두께를 두껍게 하는 것은 상술한 박형화 요구에 반한다.
또한, 고체 촬상 소자의 미세화에 의해, 고체 촬상 소자를 형성할 때에, 칼라 필터나 마이크로 렌즈 등의 상층 소자의 형성 공정에 있어서의 하지(下地) 소자에 대한 위치 맞춤의 정밀도가 강하게 요구되게 되고 있다. 이 하지 소자에 대한 상층 소자 위치 맞춤은, 하지 소자에게 형성된 얼라인먼트용 마크로부터의 레이저 광의 반사 및 회절광을 평탄화막을 거쳐서 검출함으로써, 하지 소자와 상층 소자의 위치 맞춤 행하고 있다. 그런데, 막 두께가 두꺼운 평탄화막이나 보호막을 거쳐서 얼라인먼트용 마크의 결상 위치 검출에는, 광학적으로 큰 어긋남을 발생시키기 쉽다. 따라서, 하지 소자와 상층 소자의 위치 맞춤의 정밀도를 향상시키기 위해서도 평탄화막이나 보호막의 박막화가 요구되고 있다.
이것에 대하여, 평탄화막이나 보호막을 박막화함으로써, 광전 변환 소자와 마이크로 렌즈 사이의 두께를 얇게 하는 것이 고려되고 있다. 이 평탄화막이나 보호막의 박막화의 방법으로서, 에칭 처리에 의해 평탄화막이나 보호막을 형성하는 방법이 고려되고 있다.
그러나, 에칭 처리를 실행할 경우는, 플라즈마를 이용하는 에칭 방법에서는 에칭면 및 전자 디바이스에 손상을 주게 되고, 고체 촬상 소자의 감광부와 전송부 사이에 전하의 차를 발생시켜, 암전류(暗電流) 출력 증가의 원인이 되어버린다. 또한, 웨트 에칭을 이용할 때는 평탄화막이나 보호막의 제거량의 제어가 곤란하기 때문에 소망의 막 두께로 할 수 없다고 하는 문제가 있다. 이와 같이, 종래의 기판의 처리 방법에 있어서는, 전자 디바이스에 손상을 주지 않고 소망의 막 두께의 평탄화막이나 보호막을 형성하는 것은 곤란하다.
본 발명의 목적은, 전자 디바이스에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있는 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막 디바이스의 제조 방법, 및 프로그램을 기록한 기록 매체를 제공하는 것이다.
상기 목적을 달성하기 위해서, 본 발명의 양상에 의하면, 고체 촬상 소자의 제조 방법에 있어서,
상기 고체 촬상 소자의 기판이 구비하는 절연막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와,
상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 단계를 포함하는 고체 촬상 소자의 제조 방법이 제공된다.
이 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.
바람직하게, 상기 절연막 노출 단계는 상기 기판에 플라즈마 에칭 처리를 실시한다.
이 제조 방법에 의하면, 기판에 플라즈마 에칭 처리가 실시되므로, 기판으로 제조되는 고체 촬상 소자에 있어서, 게이트 전극에 전하가 축적되지 않기 때문에, 게이트 산화막의 열화나 파괴를 방지하는 것이 가능하고, 에너지 입자가 고체 촬상 소자에 조사되는 일이 없기 때문에, 고체 촬상 소자에 있어서 박아 넣기 손상(결정 결함)의 발생을 방지하는 것이 가능하고, 또한 플라즈마에 기인하는 예기하지 않는 화학 반응이 일어나지 않기 때문에, 불순물의 발생을 방지하는 것이 가능하고, 이에 의해, 기판에 처리를 실시하는 처리실이 오염되는 것을 방지할 수 있다.
바람직하게, 상기 절연막 노출 단계는 상기 기판에 건조 세정 처리를 실시한다.
이 제조 방법에 의하면, 기판 표면의 물성의 변화를 억제하는 것이 가능하고, 띠라서 배선 신뢰성의 저하를 확실하게 방지할 수 있다.
바람직하게, 상기 절연막의 형상을 측정하고, 상기 측정된 형상에 따라 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비, 및 상기 소정의 압력 중 적어도 하나를 결정하는 생성물 생성 조건 결정 단계를 더 포함한다.
이 제조 방법에 의하면, 절연막의 형상이 측정되고, 상기 측정된 형상에 따라 혼합 기체에 있어서의 암모니아에 대한 불화 수소의 체적 유량비, 및 상기 소정의 압력 중 적어도 하나가 결정되므로, 절연막의 상층의 제거량(막 두께)의 제어를 보다 정확하게 실행할 수 있고, 또한 절연막의 박막화 처리의 효율을 향상시킬 수 있다.
바람직하게, 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비는 1~½이며, 상기 소정의 압력은 6.7×10-2~4.0 pa이다.
이 제조 방법에 의하면, 혼합 기체에 있어서의 암모니아에 대한 불화 수소의 체적 유량비는 1~½이며, 상기 소정의 압력은 6.7×10-2~4.0 Pa이므로, 생성물의 생성을 조장하는 것이 가능하고, 따라서 절연막의 상층의 제거(박막화)를 확실하게 실행할 수 있다.
바람직하게, 상기 소정의 온도는 80~200 ℃이다.
이 제조 방법에 의하면, 소정의 온도는 80~200 ℃이므로, 생성물의 기화를 촉진하는 것이 가능하고, 따라서 절연막의 상층의 제거(박막화)를 확실하게 실행할 수 있다.
상기 목적을 달성하기 위해서, 본 발명의 제 2 양상에 의하면,
고체 촬상 소자의 제조 방법에 있어서,
상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와,
상기 절연막의 형상을 측정하는 처리전 형상 측정 단계와,
상기 측정된 형상과 상기 결정된 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하는 처리 조건 결정 단계와,
상기 제 1 처리 조건에 기초해서 상기 절연막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 단계와,
상기 제 2 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 단계를 포함하는 고체 촬상 소자의 제조 방법이 제공된다.
이 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고, 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.
또한, 절연막의 형상을 측정하고, 상기 측정된 형상과 결정된 원하는 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하고, 제 1 처리 조건에 기초해서 절연막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하고, 제 2 처리 조건에 기초해서 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하므로, 절연막의 제거량의 제어를 보다 정확에 실행할 수 있고, 따라서 절연막을 보다 박막화할 수 있다. 또한, 고체 촬상 소자의 제조 효율을 향상시킬 수 있다.
바람직하게, 상기 절연막 가열 단계의 후에 상기 절연막의 형상을 측정하는 처리후 형상 측정 단계와,
상기 처리후 형상 측정 단계에 있어서 측정된 형상과 상기 결정된 막 두께를 비교해서 상기 제 1 처리 조건 및 상기 제 2 처리 조건을 변경하는 처리 조건 변경 단계를 더 포함한다.
이 제조 방법에 의하면, 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열한 후에 절연막의 형상을 측정하고, 상기 측정된 형상과 결정된 원하는 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 변경하므로, 절연막의 제거량의 제어를 또한 정확하게 실행할 수 있고, 따라서 절연막을 더욱 박막화할 수 있다.
바람직하게, 상기 제 1 처리 조건은 상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비, 및 상기 소정의 압력 중 적어도 하나이며, 상기 제 2 처리 조건은 상기 소정의 온도이다.
이 제조 방법에 의하면, 제 1 처리 조건은 혼합 기체에 있어서의 암모니아에 대한 불화 수소의 체적 유량비, 및 소정의 압력 중 적어도 하나이며, 제 2 처리 조건은 소정의 온도이므로, 상술의 청구항 7, 청구항 8의 효과를 확실하게 달성할 수 있다.
상기 목적을 달성하기 위해서, 본 발명의 제 3 양상에 의하면,
기판에 매트릭스 형상으로 마련된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 마련된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된, 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 사이에 두고 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법에 있어서,
상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 단계와,
상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정 패턴의 레지스트를 형성하는 레지스트 패터닝 단계와,
상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 단계와,
상기 레지스트를 제거하는 레지스트 제거 단계와,
상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 단계와,
상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성함과 아울러 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 단계와,
상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 단계와,
상기 칼라 필터상에 제 2 절연층을 형성함과 아울러 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 단계를 포함하며,
상기 평탄화막 형성 단계 및 상기 보호막 형성 단계가 상기 제 1 절연층 및 상기 제 2 절연층을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 제 2 절연층을 소정의 온도로 가열하는 절연층 가열 단계를 각각 포함하는 고체 촬상 소자의 제조 방법이 제공된다.
이 제조 방법에 의하면, 칼라 필터가 형성되는 평탄화막을 형성하기 위해서 도포된 제 1 절연층과, 칼라 필터상에 보호막을 형성하기 위해서 도포된 제 2 절연층이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 제 1 및 제 2 절연막이 소정의 온도로 가열된다. 제 1 및 제 2 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 제 1 및 제 2 절연막 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 제 1 및 제 2 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 제 1 및 제 2 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 제 1 및 제 2 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 각 소자에 손상을 주는 일이 없다. 따라서, 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.
상기 목적을 달성하기 위해서, 본 발명의 제 4 양상에 의하면,
고체 촬상 소자의 제조 방법에 있어서,
수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 단계와,
상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 단계와,
상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 단계와,
상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 단계와,
상기 절연막을 사이에 두고 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 단계를 포함하며,
상기 절연막 형성 단계는 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 단계와, 상기 도포된 절연재를 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 혼합 기체의 분위기에 노출된 절연재를 소정의 온도로 가열하는 절연재 가열 단계를 포함하는 고체 촬상 소자의 제조 방법이 제공된다.
이 제조 방법에 의하면, 수광부가 형성된 기판상에 절연막을 형성하기 위해서 기판상에 도포된 절연재가 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연재가 소정의 온도로 가열된다. 절연재가 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연재 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연재가 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연재의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 절연재의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 각 소자에 손상을 주는 일이 없다. 따라서, 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.
상기 목적을 달성하기 위해서, 본 발명의 제 5 양상에 의하면,
기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법에 있어서,
상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 단계와,
상기 절연성의 막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 막노출 단계와,
상기 혼합 기체의 분위기에 노출된 절연성의 막을 소정의 온도로 가열하는 막가열 단계와,
상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관한 검사를 실행하는 막검사 단계와,
상기 막검사 단계에 있어서 상기 각 칩에 있어서의 상기 검사 개소에서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있는 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 단계를 포함하는 CCD용의 박막 디바이스의 제조 방법이 제공된다.
이 제조 방법에 의하면, CCD용의 박막 디바이스의 박막을 형성하기 위해서 성막된 절연성의 막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연성의 막이 소정의 온도로 가열된다. 절연성의 막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연성의 막 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연성의 막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연성의 막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연성의 막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 CCD용의 박막 디바이스의 각 소자에 손상을 주는 일이 없다. 따라서, 박막 디바이스의 각 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다. 또한, 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에서 가열된 절연성의 막에 대하여 소정의 조건에 관한 검사를 실행하고, 각 칩에 있어서의 검사 개소에서 절연성의 막이 소정의 조건을 충족하고 있는 경우에, 박막 디바이스를 다음 공정으로 이동시키므로, CCD의 양품율을 향상시킬 수 있다.
상기 목적을 달성하기 위해서, 본 발명의 제 6 양상에 의하면,
기판의 처리 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체에 있어서,
상기 기판이 구비하는 절연막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,
상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 포함하는 기판의 처리 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체가 제공된다.
상기 목적을 달성하기 위해서, 본 발명의 제 7 양상에 의하면,
고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체에 있어서,
상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과,
상기 절연막의 형상을 측정하는 처리전 형상 측정 모듈과,
상기 측정된 형상과 상기 결정된 막 두께를 비교해서 제 1 처리 조건 및 제 2 처리 조건을 결정하는 처리 조건 결정 모듈과,
상기 제 1 처리 조건에 기초해서 상기 절연막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,
상기 제 2 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 포함하는 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체가 제공된다.
상기 목적을 달성하기 위해서, 본 발명의 제 8 양상에 의하면,
기판에 매트릭스 형상으로 마련된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 마련된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된, 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 사이에 두고 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체에 있어서,
상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 모듈과,
상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정 패턴의 레지스트를 형성하는 레지스트 패터닝 모듈과,
상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 모듈과,
상기 레지스트를 제거하는 레지스트 제거 모듈과,
상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 모듈과,
상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성함과 아울러 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 모듈과,
상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 모듈과,
상기 칼라 필터상에 제 2 절연층을 형성함과 아울러 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 모듈을 포함하며,
상기 평탄화막 형성 모듈 및 상기 보호막 형성 모듈이 상기 제 1 절연층 및 상기 제 2 절연층을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 제 2 절연층을 소정의 온도로 가열하는 절연층 가열 모듈을 각각 포함하는 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체가 제공된다.
상기 목적을 달성하기 위해서, 본 발명의 제 9 양상에 의하면,
고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체에 있어서,
수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 모듈과,
상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 모듈과,
상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 모듈과,
상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 모듈과,
상기 절연막을 사이에 두고 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 모듈을 포함하며,
상기 절연막 형성 모듈은 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 모듈과, 상기 도포된 절연재를 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 혼합 기체의 분위기에 노출된 절연재를 소정의 온도로 가열하는 절연재 가열 모듈을 포함하는 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체가 제공된다.
상기 목적을 달성하기 위해서, 본 발명의 제 10 양상에 의하면,
기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체에 있어서,
상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 모듈과,
상기 절연성의 막을 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출하는 막노출 모듈과,
상기 혼합 기체의 분위기에 노출된 절연성의 막을 소정의 온도로 가열하는 막가열 모듈과,
상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관한 검사를 실행하는 막검사 모듈과,
상기 막검사 모듈에 있어서 상기 각 칩에 있어서의 상기 검사 개소에서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있는 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 모듈을 포함하는 CCD용의 박막 디바이스의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체가 제공된다.
본 발명의 상기 및 다른 목적, 특징 및 장점은 첨부 도면과 관련하여 설명하는 하기의 상세한 설명으로부터 보다 명백해질 것이다.
이하, 본 발명의 실시 형태에 대해서 도면을 참조하면서 설명한다.
우선, 본 발명의 실시 형태에 따른 기판의 처리 방법에 대해서 설명한다.
도 1은 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 개략 구성을 도시하는 평면도이다.
도 1에 있어서, 기판 처리 장치(10)는 전자 디바이스용의 웨이퍼(이하, 간단히 "웨이퍼"라고 한다)(기판)(W)에 반응성 이온 에칭(이하, "RIE"라고 한다) 처리를 실시하는 제 1 프로세스 장치(11)와, 상기 제 1 프로세스 장치(11)와 평행하게 배치되어, 웨이퍼(W)에 후술하는 COR(Chemical Oxide Removal) 처리 및 PHT(Post Heat Treatment) 처리를 실시하는 제 2 프로세스 장치(12)와, 제 1 프로세스 장치(11) 및 제 2 프로세스 장치(12)가 각각 접속된 직사각형 형상의 공통 반송실로서의 로더 유닛(13)을 구비한다.
로더 유닛(13)에는 상술한 제 1 프로세스 장치(11) 및 제 2 프로세스 장치(12) 외에, 25장의 웨이퍼(W)를 수용하는 용기로서의 HOUP(Front Opening Unified Pod)(14)가 각각 탑재되는 3개의 HOUP 탑재대(15)와, HOUP(14)로 반출된 웨이퍼(W)의 위치를 프리얼라인먼트하는 오리엔터(16)와, 웨이퍼(W)의 표면 상태를 계측하는 제 1 및 제 2 IMS(Integrated Metrology System, 서마 웨이브, 인크.(Therma-Wave, Inc.) 제조)(17, 18)가 접속되어 있다.
제 1 프로세스 장치(11) 및 제 2 프로세스 장치(12)는 로더 유닛(13)의 길이 방향에 있어서의 측벽에 접속되는 동시에 로더 유닛(13)을 협지해서 3개의 HOUP 탑재(15)와 대향하도록 배치되고, 오리엔터(16)는 로더 유닛(13)의 길이 방향에 관한 일단에 배치되고, 제 1 IMS(17)은 로더 유닛(13)의 길이 방향에 관한 타단에 배치되고, 제 2 IMS(18)은 3개의 HOUP 탑재대(15)와 병렬로 배치된다.
로더 유닛(13)은 내부에 배치된 웨이퍼(W)를 반송하는 스칼라형 듀얼 암 타 입의 반송 암 기구(19)와, 각 HOUP 탑재대(15)에 대응하도록 측벽에 배치된 웨이퍼(W)의 투입구로서의 3개의 로드 포트(20)를 구비한다. 반송 암 기구(19)는 HOUP 탑재대(15)에 탑재된 HOUP(14)로 웨이퍼(W)를 로드 포트(20)를 경유에서 취출하고, 상기 취출한 웨이퍼(W)를 제 1 프로세스 장치(11), 제 2 프로세스 장치(12), 오리엔터(16), 제 1 IMS(17)나 제 2 IMS(18)에 반출입한다.
제 1 IMS(17)는 광학계의 모니터이며, 반입된 웨이퍼(W)를 탑재하는 탑재대(21)와, 상기 탑재대(21)에 탑재된 웨이퍼(W)를 지향하는 광학 센서(22)를 구비하고, 웨이퍼(W)의 표면 형상, 예컨대 표면층의 막 두께 및 배선 홈이나 게이트 전극 등의 CD(Critical Dimension)값을 측정한다. 제 2 IMS(18)도 광학계의 모니터이며, 제 1 IMS(17)와 마찬가지로 탑재대(23)와 광학 센서(24)를 구비하고, 웨이퍼(W)의 표면에 있어서의 파티클 수를 계측한다.
제 1 프로세스 장치(11)는 웨이퍼(W)에 RIE 처리를 실시하는 제 1 진공 처리실로서의 제 1 프로세스 유닛(25)과, 상기 제 1 프로세스 유닛(25)에 웨이퍼(W)를 주고받는 링크형 싱글 피크 타입의 제 1 반송 암(26)을 내장하는 제 1 로드록 유닛(27)을 갖는다.
제 1 프로세스 유닛(25)은 원통형의 처리실 용기(챔버)와, 상기 챔버내에 배치된 상부 전극 및 하부 전극을 구비하고, 상기 상부 전극과 하부 전극 사이의 거리는 웨이퍼(W)에 RIE 처리를 실시하기 위한 적절한 간격으로 설정되어 있다. 또한, 하부 전극은 웨이퍼(W)를 쿨롱력 등에 의해 척킹하는 ESC(39)를 그 정부(頂部)에 구비한다.
제 1 프로세스 유닛(25)에서는 챔버 내부에 처리 가스를 도입하고, 상부 전극 및 하부 전극 사이에 전계를 발생시키는 것에 따라 도입된 처리 가스를 플라즈마화해서 이온 및 라디칼을 발생시키고, 상기 이온 및 라디칼에 의해 웨이퍼(W)에 RIE 처리를 실시한다.
제 1 프로세스 장치(11)에서는 로더 유닛(13)의 내부 압력은 대기압으로 유지되는 한편, 제 1 프로세스 유닛(25)의 내부 압력은 진공으로 유지된다. 그 때문에, 제 1 로드록 유닛(27)은 제 1 프로세스 유닛(25)과의 연결부에 진공 게이트 밸브(29)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 게이트 밸브(30)를 구비하는 것에 따라, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다.
제 1 로드록 유닛(27)의 내부에는 대략 중앙부에 제 1 반송 암(26)이 마련되고, 상기 제 1 반송 암(26)보다 제 1 프로세스 유닛(25)측에 제 1 버퍼(31)가 마련되고, 제 1 반송 암(26)보다 로더 유닛(13)측에는 제 2 버퍼(32)가 마련된다. 제 1 버퍼(31) 및 제 2 버퍼(32)는 제 1 반송 암(26)의 선단부에 배치된 웨이퍼(W)를 지지하는 지지부(피크)(33)가 이동하는 궤도상에 배치되고, RIE 처리가 실시된 웨이퍼(W)를 일시적으로 지지부(33)의 궤도의 상방에 대피시킴으로써, RIE 미처리의 웨이퍼(W)와 RIE 처리완료의 웨이퍼(W)의 제 1 프로세스 유닛(25)에 있어서의 원활한 교체를 가능하게 한다.
제 2 프로세스 장치(12)는, 웨이퍼(W)에 COR 처리를 실시하는 제 2 진공 처리실로서의 제 2 프로세스 유닛(34)과, 상기 제 2 프로세스 유닛(34)에 진공 게이트 밸브(35)를 거쳐서 접속된 웨이퍼(W)에 PHT 처리를 실시하는 제 3 진공 처리실 로서의 제 3 프로세스 유닛(36)과, 제 2 프로세스 유닛(34) 및 제 3 프로세스 유닛(36)에 웨이퍼(W)를 주고받는 링크형 싱글 피크 타입의 제 2 반송 암(37)을 내장하는 제 2 로드록 유닛(49)을 구비한다.
도 2는 도 1에 있어서의 제 2 프로세스 장치의 단면도이며, 도 2a는 도 1에 있어서의 선 Ⅱ-Ⅱ에 따른 단면도이며, 도 2b는 도 2a에 있어서의 A 부분의 확대도이다.
도 2a에 있어서, 제 2 프로세스 유닛(34)은 원통형의 처리실 용기(챔버)(38)와, 상기 챔버(38)내에 배치된 웨이퍼(W)의 탑재대로서의 ESC(39)와, 챔버(38)의 상방에 배치된 샤워 헤드(40)와, 챔버(38)내의 가스 등을 배기하는 TMP(Turbo Molecular Pump)(41)와, 챔버(38)와 TMP(41) 사이에 배치되어, 챔버(38)내의 압력을 제어하는 가변식 나비꼴 밸브로서의 APC(Automatic Pressure Contro1) 밸브(42)를 구비한다.
ESC(39)는 내부에 직류 전압이 인가되는 전극판(도시하지 않음)을 갖고, 직류 전압에 의해 발생하는 쿨롱력 또는 존슨-라벡(Johnsen-Rahbek)력에 의해 웨이퍼(W)를 흡착해서 유지한다. 또한, ESC(39)는 조온 기구(潮溫機構)로서 냉매실(도시하지 않음)을 구비한다. 이 냉매실에는 소정 온도의 냉매, 예컨대 냉각수나 갈덴(galden)액이 순환 공급되어, 상기 냉매의 온도에 의해 ESC(39)의 상면에 흡착유지된 웨이퍼(W)의 처리 온도가 제어된다. 또한, ESC(39)는 ESC(39)의 상면과 웨이퍼(W)의 이면과의 사이에 열전도 가스(헬륨 가스)를 구석구석까지 공급하는 열전도 가스 공급 계통(도시하지 않음)을 구비한다. 열전도 가스는 COR 처리의 사이, 냉 매에 의해 원하는 지정 온도로 유지된 ESC(39)와 웨이퍼(W)와의 열교환을 실행하고, 웨이퍼(W)를 효율적으로 또한 균일하게 냉각한다.
또한, ESC(39)는 그 상면으로부터 돌출가능한 리프트 핀으로서의 복수의 푸셔 핀(56)을 구비하고, 이들 푸셔 핀(56)은 웨이퍼(W)가 ESC(39)에 흡착 유지될 때에는 ESC(39)에 수용되어, COR 처리가 실시된 웨이퍼(W)를 챔버(38)로부터 반출 할 때에는, ESC(39)의 상면으로부터 돌출해서 웨이퍼(W)를 상방으로 들어올린다.
샤워 헤드(40)는 2층 구조를 갖고, 하층부(43) 및 상층부(44)의 각각 제 1 버퍼실(45) 및 제 2 버퍼실(46)을 구비한다. 제 1 버퍼실(45) 및 제 2 버퍼실(46)은 각각 가스 공기구멍(47, 48)을 거쳐서 챔버(38)내에 연통한다. 즉, 샤워 헤드(40)는 제 1 버퍼실(45) 및 제 2 버퍼실(46)에 각각 공급되는 가스의 챔버(38)내에의 내부 통로를 구비하고, 계단층 형상으로 중첩된 2개의 판형상체(하층부(43), 상층부(44))로 구성된다.
웨이퍼(W)에 COR 처리를 실시할 때, 제 1 버퍼실(45)에는 NH3(암모니아) 가스가 후술하는 암모니아 가스 공급관(57)으로부터 공급되고, 상기 공급된 암모니아 가스는 가스 공기구멍(47)을 거쳐서 챔버(38)내에 공급되는 동시에, 제 2 버퍼실(46)에는 HF(불화 수소) 가스가 후술하는 불화 수소 가스 공급관(58)으로부터 공급되고, 상기 공급된 불화 수소 가스는 가스 공기구멍(48)을 거쳐서 챔버(38)내에 공급된다.
또한, 샤워 헤드(40)는 히터(도시하지 않음), 예컨대 가열 소자를 내장한다. 이 가열 소자는 바람직하게는 상층부(44)상에 배치되어 제 2 버퍼실(46)내의 불화수소 가스의 온도를 제어한다.
또한, 도 2b에 도시하는 바와 같이, 가스 공기구멍(47, 48)에 있어서의 챔버(38)내로의 개구부는 점차로 끝쪽이 퍼지는 형상으로 형성된다. 이에 의해, 암모니아 가스나 블소가스를 챔버(38)내에 효율적으로 확산할 수 있다. 또한, 가스 공기구멍(47, 48)은 단면이 좁아지는 형상을 나타내므로, 챔버(38)에서 발생한 퇴적물이 가스 공기구멍(47, 48), 나아가서는 제 1 버퍼실(45)이나 제 2 버퍼실(46)로 역류하는 것을 방지할 수 있다. 또한, 가스 공기구멍(47, 48)은 나선형상의 공기구멍이여도 좋다.
이 제 2 프로세스 유닛(34)은 챔버(38)내의 압력과, 암모니아 가스 및 불화 수소 가스의 체적 유량비를 조정하는 것에 따라 웨이퍼(W)에 COR 처리를 실시한다. 또한, 이 제 2 프로세스 유닛(34)은 챔버(38)내에 있어서 처음으로 암모니아 가스 및 불화 수소 가스가 혼합하도록 설계되어 있기(포스트 믹스 설계) 때문에, 챔버(38)내에 상기 2종류의 가스가 도입될 때까지, 상기 2종류의 가스가 혼합하는 것을 방지하고, 불화 수소 가스와 암모니아 가스가 챔버(38)내에의 도입전에 반응하는 것을 방지한다.
또한, 제 2 프로세스 유닛(34)에서는, 챔버(38)의 측벽이 히터(도시하지 않음), 예컨대 가열 소자를 내장하고, 챔버(38)내의 분위기 온도가 저하하는 것을 방지한다. 이에 의해, COR 처리의 재현성을 향상시킬 수 있다. 또한, 측벽내의 가열 소자는 측벽의 온도를 제어하는 것에 의해 챔버(38)내에 발생한 부 생성물이 측 벽의 내측에 부착되는 것을 방지한다.
도 1을 다시 참조하면, 제 3 프로세스 유닛(36)은 하우징체 형상의 처리실 용기(챔버)(50)와, 상기 챔버(50)내에 배치된 웨이퍼(W)의 탑재대로서의 스테이지 히터(51)와, 상기 스테이지 히터(51)의 주위에 배치되어, 스테이지 히터(51)에 탑재된 웨이퍼(W)를 상방으로 들어 올리는 버퍼 암(52)과, 챔버내 및 외부 분위기를 차단하는 개폐가능한 커버로서의 PHT 챔버 리드(도시하지 않음)를 갖는다.
스테이지 히터(51)는 표면에 산화 피막이 형성된 알루미늄으로 되고, 내장된 전열선 등에 의해 탑재된 웨이퍼(W)를 소정의 온도까지 가열한다. 구체적으로는, 스테이지 히터(51)는 탑재된 웨이퍼(W)를 적어도 1분간에 걸쳐서 100~200 ℃, 바람직하게는 약 135 ℃까지 직접 가열한다.
PHT 챔버 리드에는 실리콘 고무제의 시트 히터가 배치된다. 또한, 챔버(50)의 측벽에는 카트리지 히터(도시하지 않음)가 내장되고, 상기 카트리지 히터는 챔버(50)의 측벽의 벽면 온도를 25~80 ℃로 제어한다. 이에 의해, 챔버(50)의 측벽에 부 생성물이 부착되는 것을 방지하고, 부착된 부 생성물에 기인하는 파티클의 발생을 방지해서 챔버(50)의 클리닝 주기를 연장한다. 또한, 챔버(50)의 외주는 열 차폐체에 의해 덮어져 있다.
웨이퍼(W)를 상방으로부터 가열하는 히터로서, 상술한 시트 히터의 대신에, 자외선 방사(UV radiation) 히터를 배치해도 좋다. 자외선 방사 히터로서는 파장 190~400㎚의 자외선을 방사하는 자외선 램프 등이 해당한다.
버퍼 암(52)은 COR 처리가 실시된 웨이퍼(W)를 일시적으로 제 2 반송 암(37) 에 있어서의 지지부(53)의 궤도의 상방에 대피시킴으로써, 제 2 프로세스 유닛(34)이나 제 3 프로세스 유닛(36)에 있어서의 웨이퍼(W)의 원활한 교체를 가능하게 한다.
이 제 3 프로세스 유닛(36)은 웨이퍼(W)의 온도를 조정하는 것에 의해 웨이퍼(W)에 PHT 처리를 실시한다.
제 2 로드록 유닛(49)은 제 2 반송 암(37)을 내장하는 하우징체 형상의 반송실(챔버)(70)을 갖는다. 또한, 로더 유닛(13)의 내부 압력은 대기압으로 유지되는 한편, 제 2 프로세스 유닛(34) 및 제 3 프로세스 유닛(36)의 내부 압력은 진공으로 유지된다. 그 때문에, 제 2 로드록 유닛(49)은 제 3 프로세스 유닛(36)과의 연결부에 진공 게이트 밸브(54)를 구비하는 동시에, 록 유닛(13)과의 연결부에 대기 도어 밸브(55)를 구비하는 것에 의해, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다.
도 3은 도 1에 있어서의 제 2 프로세스 장치의 개략 구성을 도시하는 사시도이다.
도 3에 있어서, 제 2 프로세스 유닛(34)은 제 1 버퍼실(45)로 암모니아 가스를 공급하는 암모니아 가스 공급관(57)과, 제 2 버퍼실(46)에 불화 수소 가스를 공급하는 불화 수소 가스 공급관(58)과, 챔버(38)내의 압력을 측정하는 압력 게이지(59)와, ESC(39)내에 배설된 냉각 계통에 냉매를 공급하는 칠러 유닛(60)을 구비한다.
암모니아 가스 공급관(57)에는 MFC(Mass Flow Controller)(도시하지 않음)가 마련되고, 상기 MFC는 제 1 버퍼실(45)에 공급하는 암모니아 가스의 유량을 조정하는 동시에, 불화 수소 가스 공급관(58)에도 MFC(도시하지 않음)가 마련되고, 상기MFC는 제 2 버퍼실(46)에 공급하는 불화 수소 가스의 유량을 조정한다. 암모니아 가스 공급관(57)의 MFC와 불화 수소 가스 공급관(58)의 MFC는 협동해서, 챔버(38)에 공급되는 암모니아 가스와 불화 수소 가스의 체적 유량비를 조정한다.
또한, 제 2 프로세스 유닛(34)의 하방에는 DP(Dry Pump)(도시하지 않음)에 접속된 제 2 프로세스 유닛 배기계(61)가 배치된다. 제 2 프로세스 유닛 배기계(61)는 챔버(38)와 APC 밸브(42)의 사이에 배설된 배기 덕트(62)와 연통하는 배기관(63)과, TMP(41)의 하방(배기측)에 접속된 배기관(64)을 갖고, 챔버(38)내의 가스 등을 배기한다. 또한, 배기관(64)은 DP의 전방에서 배기관(63)에 접속된다.
제 3 프로세스 유닛(36)은 챔버(50)에 질소(N2) 가스를 공급하는 질소 가스 공급관(65)과, 챔버(50)내의 압력을 측정하는 압력 게이지(66)와, 챔버(50)내의 질소 가스 등을 배기하는 제 3 프로세스 유닛 배기계(67)를 구비한다.
질소 가스 공급관(65)에는 MFC(도시하지 않음)이 마련되고, 상기 MFC는 챔버(50)에 공급되는 질소 가스의 유량을 조정한다. 제 3 프로세스 유닛 배기계(67)는 챔버(50)에 연통하는 동시에 DP에 접속된 주 배기관(68)과, 상기 주 배기관(68)의 도중에 배치된 APC 밸브(69)와, 주 배기관(68)으로부터 APC 밸브(69)를 회피하도록 분기하고, 또한 DP의 전방에 있어서 주 배기관(68)에 접속되는 부 배기관(68a)을 갖는다. APC 밸브(69)는 챔버(50)내의 압력을 제어한다.
제 2 로드록 유닛(49)은 챔버(70)에 질소 가스를 공급하는 질소 가스 공급관(71)과, 챔버(70)내의 압력을 측정하는 압력 게이지(72)와, 챔버(70)내의 질소 가스 등을 배기하는 제 2 로드록 유닛 배기계(73)와, 챔버(70)내를 대기 개방하는 대기 연통관(74)을 구비한다.
질소 가스 공급관(71)에는 MFC(도시하지 않음)이 마련되고, 상기 MFC는 챔버(70)에 공급되는 질소 가스의 유량을 조정한다. 제 2 로드록 유닛 배기계(73)는 1개의 배기관으로 되고, 상기 배기관은 챔버(70)에 연통하는 동시에, DP의 전방에 있어서 제 3 프로세스 유닛 배기계(67)에 있어서의 주 배기관(68)에 접속된다. 또한, 제 2 로드록 유닛 배기계(73) 및 대기 연통관(74)은 각각 개폐가능한 배기 밸브(75) 및 릴리프 밸브(76)를 갖고, 상기 배기 밸브(75) 및 릴리프 밸브(76)는 협동해서 챔버(70)내의 압력을 대기압에서 원하는 진공도까지 어느 하나로 조정한다.
도 4는 도 3에 있어서의 제 2 로드록 유닛의 유닛 구동용 드라이 에어 공급계의 개략 구성을 도시한 도면이다.
도 4에 있어서, 제 2 로드록 유닛(49)의 유닛 구동용 드라이 에어 공급계(77)의 드라이 에어 공급원으로서는, 대기 도어 밸브(55)가 갖는 슬라이드 도어 구동용의 도어 밸브 실린더, N2 퍼지 유닛으로서의 질소 가스 공급관(71)이 갖는 MFC, 대기 개방용의 릴리프 유닛으로서의 대기 연통관(74)이 갖는 릴리프 밸브(76), 진공 흡인 유닛으로서의 제 2 로드록 유닛 배기계(73)가 갖는 배기 밸브(75), 및 진공 게이트 밸브(54)가 갖는 슬라이드 게이트 구동용의 게이트 밸브 실린더가 해당 한다.
유닛 구동용 드라이 에어 공급계(77)는 제 2 프로세스 장치(12)가 구비하는 주 드라이 에어 공급관(78)으로부터 분기된 부 드라이 에어 공급관(79)과, 상기 부 드라이 에어 공급관(79)에 접속된 제 1 솔레노이드 밸브(80) 및 제 2 솔레노이드 밸브(81)를 구비한다.
제 1 솔레노이드 밸브(80)는 드라이 에어 공급관(82, 83, 84, 85)의 각각을 거쳐서 도어 밸브 실린더, MFC, 릴리프 밸브(76) 및 게이트 밸브 실린더에 접속되어, 이것들에의 드라이 에어의 공급량을 제어하는 것에 의해 각부의 동작을 제어한다. 또한, 제 2 솔레노이드 밸브(81)는, 드라이 에어 공급관(86)을 거쳐서 배기 밸브(75)에 접속되고, 배기 밸브(75)로의 드라이 에어의 공급량을 제어하는 것에 의해 배기 밸브(75)의 동작을 제어한다.
또한, 질소 가스 공급관(71)에 있어서의 MFC는 질소(N2) 가스 공급계(87)에도 접속되어 있다.
또한, 제 2 프로세스 유닛(34)이나 제 3 프로세스 유닛(36)도, 상술한 제 2 로드록 유닛(49)의 유닛 구동용 드라이 에어 공급계(77)와 동일한 구성을 갖는 유닛 구동용 드라이 에어 공급계를 구비한다.
도 1을 다시 참조하면, 기판 처리 장치(10)는 제 1 프로세스 장치(11), 제 2 프로세스 장치(12) 및 로더 유닛(13)의 동작을 제어하는 시스템 컨트롤러와, 로더 유닛(13)의 길이 방향에 관한 일단에 배치된 오퍼레이션 제어기(88)를 구비한다.
오퍼레이션 제어기(88)는 예를 들면 LCD(Liquid Crystal Display)로 이루어지는 표시부를 갖고, 상기 표시부는 기판 처리 장치(10)의 각 구성 요소의 동작 상황을 표시한다.
또한, 도 5에 도시하는 바와 같이, 시스템 컨트롤러는 EC(Equipment Controller)(89)와, 3개의 MC(Module Controller)(90, 91, 92)와, EC(89) 및 각 MC를 접속하는 스위칭 허브(93)를 구비한다. 상기 시스템 컨트롤러는 EC(89)로부터 LAN(Local Area Network)(170)을 거쳐서, 기판 처리 장치(10)가 마련되어 있는 공장 전체의 제조 공정을 관리하는 MES(Manufacturing Execution System)로서의 PC(171)에 접속되어 있다. MES는 시스템 컨트롤러와 연계해서 공장에 있어서의 공정에 관한 리얼 타임 정보를 기간 업무 시스템(도시하지 않음)에 피드백(feedback) 하는 동시에, 공장 전체의 부하 등을 고려해서 공정에 관한 판단을 실행한다.
EC(89)는 각 MC를 통괄해서 기판 처리 장치(10) 전체의 동작을 제어하는 주 제어부(마스터 제어부)이다. 또한, EC(89)는 CPU, RAM, HDD 등을 구비하고, 오퍼레이션 제어기(88)에 있어서 유저 등에 의해 지정된 웨이퍼(W)의 처리 방법, 즉 레시피에 대응하는 프로그램에 따라 CPU가 각 MC에 제어 신호를 송신함으로써, 제 1 프로세스 장치(11), 제 2 프로세스 장치(12) 및 로더 유닛(13)의 동작을 제어한다.
스위칭 허브(93)는 EC(89)로부터의 제어 신호에 따라 EC(89)의 접속처로서의 MC을 바꾼다.
MC(90, 91, 92)는 각각 제 1 프로세스 장치(11), 제 2 프로세스 장치(12) 및 로더 유닛(13)의 동작을 제어하는 부 제어부(슬레이브 제어부)이다. 각 MC는 DIST(Distribution) 보드(96)에 의해 GHOST 네트워크(95)를 거쳐서 각 I/O(입출력) 모듈(97, 98, 99)에 각각 접속된다. GHOST 네트워크(95)는 MC가 갖는 MC 보드에 탑재된 GHOST(General High-Speed Optimum Scalable Transceiver)라고 칭하는 LSI에 의해 실현되는 네트워크이다. GHOST 네트워크(95)에는 최대로 31개의 I/O 모듈을 접속 가능하고, GHOST 네트워크(95)에서는 MC가 마스터에 해당하고, I/O 모듈이 슬레이브에 해당한다.
I/O 모듈(98)은 제 2 프로세스 장치(12)에 있어서의 각 구성요소(이하, 「엔드 디바이스」라고 한다)에 접속된 복수의 I/O부(100)로부터 되고, 각 엔드 디바이스에의 제어 신호 및 각 엔드 디바이스로부터의 출력 신호의 전달을 행한다. I/O 모듈(98)에 있어서 I/O부(100)에 접속되는 엔드 디바이스에는, 예컨대 제 2 프로세스 유닛(34)에 있어서의 암모니아 가스 공급관(57)의 MFC, 불화 수소 가스 공급관(58)의 MFC, 압력 게이지(59) 및 APC 밸브(42), 제 3 프로세스 유닛(36)에 있어서의 질소 가스 공급관(65)의 MFC, 압력 게이지(66), APC 밸브(69), 버퍼 암(52) 및 스테이지 히터(51), 제 2 로드록 유닛(49)에 있어서의 질소 가스 공급관(71)의 MFC, 압력 게이지(72) 및 제 2 반송 암(37), 및 유닛 구동용 드라이 에어 공급계(77)에 있어서의 제 1 솔레노이드 밸브(80) 및 제 2 솔레노이드 밸브(81) 등이 해당한다.
또한, I/O 모듈(97, 99)은 I/O 모듈(98)과 마찬가지의 구성을 갖고, 제 1 프로세스 장치(11)에 대응하는 MC(90) 및 I/O 모듈(97)의 접속 관계, 및 로더 유닛(13)에 대응하는 MC(92) 및 I/O 모듈(99)의 접속 관계도, 상술한 MC(91) 및 I/O 모 듈(98)의 접속 관계와 마찬가지의 구성이기 때문에, 이것들의 설명은 생략한다.
또한, 각 GHOST 네트워크(95)에는 I/O부(100)에 있어서의 디지탈 신호, 아날로그 신호 및 시리얼 신호의 입출력을 제어하는 I/O 보드(도시하지 않음)도 접속된다.
기판 처리 장치(10)에 있어서, 웨이퍼(W)에 COR 처리를 실시하는 때는, COR 처리의 레시피에 대응하는 프로그램에 따라 EC(89)의 CPU가 스위칭 허브(93), MC(91), GHOST 네트워크(95) 및 I/O 모듈(98)에 있어서의 I/O부(100)를 거쳐서, 원하는 엔드 디바이스에 제어 신호를 송신함으로써 제 2 프로세스 유닛(34)에 있어서 COR 처리를 실행한다.
구체적으로는, CPU가 암모니아 가스 공급관(57)의 MFC 및 불화 수소 가스 공급관(58)의 MFC에 제어 신호를 송신함으로써 챔버(38)에 있어서의 암모니아 가스 및 불화 수소 가스의 체적 유량비를 원하는 값으로 조정하고, TMP(41) 및 APC 밸브(42)에 제어 신호를 송신함으로써 챔버(38)내의 압력을 원하는 값으로 조정한다. 또한, 이때 압력 게이지(59)가 챔버(38)내의 압력값을 출력 신호로서 EC(89)의 CPU에 송신하고, 상기 CPU는 송신된 챔버(38)내의 압력값에 근거하여, 암모니아 가스 공급관(57)의 MFC, 불화 수소 가스 공급관(58)의 MFC, APC 밸브(42)나 TMP(41)의 제어 파라메터를 결정한다.
또한, 웨이퍼(W)에 PHT 처리를 실시하는 때는, PHT 처리의 레시피에 대응하는 프로그램에 따라 EC(89)의 CPU가 원하는 엔드 디바이스에 제어 신호를 송신함으로써 제 3 프로세스 유닛(36)에 있어서 PHT 처리를 실행한다.
구체적으로는, CPU가 질소 가스 공급관(65)의 MFC 및 APC 밸브(69)에 제어 신호를 송신함으로써 챔버(50)내의 압력을 원하는 값으로 조정하고, 스테이지 히터(51)에 제어 신호를 송신함으로써 웨이퍼(W)의 온도를 원하는 온도로 조정한다. 또한, 이때 압력 게이지(66)가 챔버(50)내의 압력값을 출력 신호로서 EC(89)의 CPU에 송신하고, 상기 CPU는 송신된 챔버(50)내의 압력값에 근거하여, APC 밸브(69)나 질소 가스 공급관(65)의 MFC의 제어 파라메터를 결정한다.
도 5의 시스템 컨트롤러에서는, 복수의 엔드 디바이스가 EC(89)에 직접 접속되는 일이 없이, 상기 복수의 엔드 디바이스에 접속된 I/O부(100)가 모듈화되어서 I/O 모듈을 구성하고, 상기 I/O 모듈이 MC 및 스위칭 허브(93)를 거쳐서 EC(89)에 접속되기 때문에, 통신 계통을 간소화 할 수 있다.
또한, EC(89)의 CPU가 송신하는 제어 신호에는 소망의 엔드 디바이스에 접속된 I/O부(100)의 어드레스, 및 상기 I/O부(100)를 포함하는 I/O 모듈의 어드레스가 포함되어 있기 때문에, 스위칭 허브(93)는 제어 신호에 있어서의 I/O 모듈의 어드레스를 참조하고, MC의 GHOST가 제어 신호에 있어서의 I/O부(100)의 어드레스를 참조함으로써, 스위칭 허브(93)나 MC가 CPU에 제어 신호의 송신처의 문의를 행할 필요를 없앨 수 있고, 이에 의해 제어 신호의 원활한 전달을 실현할 수 있다.
그런데, 상술한 바와 같이, 고체 촬상 소자의 집광성을 향상시키기 위해서, 제조시에 위치 정밀도를 향상시키기 위해서는, 절연막(SiO2 막)을 박막화하는 것이 필요하다. 또한, 절연막의 박막화에 있어서 고체 촬상 소자에 손상을 주는 것을 방지할 필요가 있다.
본 실시 형태에 따른 기판의 처리 방법은, 이것에 대응해서 고체 촬상 소자에 손상을 주지 않고, 절연막을 박막화하기 위해, 웨이퍼(W)에 COR 처리와 PHT 처리를 실시한다.
COR 처리는 피 처리체의 산화막과 가스 분자를 화학 반응시켜서 생성물을 생성하는 처리이며, PHT 처리는 COR 처리가 실시된 피 처리체를 가열하고, COR 처리의 화학 반응에 의해 피 처리체에 생성한 생성물을 기화·열산화(Thermal Oxidation)시켜서 피 처리체로부터 제거하는 처리이다. 이상과 같이, COR 처리 및 PHT 처리, 특히 COR 처리는 플라즈마를 이용하지 않고, 또한 물 성분을 이용하지 않고 피 처리체의 산화막을 제거하는 처리이기 때문에, 플라즈마 에칭 처리 및 드라이 클리닝 처리(건조 세정 처리)에 해당한다.
본 실시 형태에 따른 기판의 처리 방법에서는, 가스로서 암모니아 가스 및 불화 수소 가스를 이용한다. 여기에서, 불화 수소 가스는 SiO2 층의 부식을 촉진하고, 암모니아 가스는 산화막과 불화 수소 가스와의 반응을 필요에 따라서 제한하고, 최종적으로는 정지시키기 위한 반응 부 생성물(By-product)을 합성한다. 구체적으로는, COR 처리 및 PHT 처리에 있어서 이하의 화학 반응을 이용함으로써, SiO2로 이루어지는 절연막의 상층을 제거해서 절연막의 막 두께를 원하는 막 두께로 한다.
(COR 처리)
SiO2+4HF→SiF4+2H2O↑
SiF4+2NH3+2HF→(NH4)2SiF6
(PHT 처리)
(NH4)2SiF6→SiF4↑+2NH3↑+2HF↑
상술한 화학 반응을 이용한 COR 처리 및 PHT 처리는 이하의 특성을 갖는 것이 본 발명자에 의해 확인되었다. 또한, PHT 처리에 있어서는, N2 및 H2도 약간량 발생한다.
1) 열산화막의 선택비(제거 속도)가 높다.
구체적으로는, COR 처리 및 PHT 처리는 열산화막의 선택비가 높은 반면, 폴리 실리콘의 선택비가 낮다. 따라서, 열산화막인 SiO2 막으로 이루어지는 절연막의 표층이나 SiO2 막과 마찬가지의 특성을 갖는 유사 SiO2 층을 효율적으로 제거할 수 있다. 또한, 이 유사 SiO2 층은 「변질층」이나 「희생층」이라고 한다.
2) 표층 등이 제거된 절연막의 표면에 있어서의 자연 산화막의 성장 속도가 느리다.
구체적으로는, 웨트 에칭에 의해 상층이 제거된 절연막의 표면에 있어서는, 두께 3Å의 자연 산화막의 성장 시간이 10분인 것에 대해, COR 처리 및 PHT 처리에 의해 상층이 제거된 절연막의 표면에 있어서는, 두께 3Å의 자연 산화막의 성장 시간은 2시간 이상이다. 따라서, 전자 디바이스의 제조 공정에 있어서 불필요한 산 화막이 발생하는 일이 없고, 전자 디바이스의 신뢰성을 향상시킬 수 있다.
3) 드라이 환경에 있어서 반응이 진행한다.
구체적으로는, COR 처리에 있어서 물을 반응에 이용하는 일이 없고, 또한 COR 처리에 의해 발생한 물도 PHT 처리에 의해 기화되기 때문에, 상층이 제거된 절연막의 표면에 OH기가 배치되는 일이 없다. 따라서, 절연막의 표면이 친수성이 되는 일이 없고, 그리고 상기 표면은 흡습하는 일이 없기 때문에, 전자 디바이스의 배선 신뢰성의 저하를 방지할 수 있다.
4) 생성물의 생성량은 소정 시간이 경과하면 포화한다.
구체적으로는, 소정 시간이 경과하면, 그 이후 절연막을 암모니아 가스 및 불화 수소 가스의 혼합 기체에 노출이 계속되어도, 생성물의 생성량은 증가하지 않는다. 또한, 생성물의 생성량은 혼합 기체의 분압, 체적 유량비 등의 혼합 기체의 파라메터나, 챔버(38)내의 압력이나 스테이지 히터(51)에 있어서의 가열 온도 등의 파라메터에 의해 결정된다. 따라서, 절연막의 제거량의 제어를 정확 또한 용이하게 실행할 수 있다.
5) 파티클의 발생이 매우 적다.
구체적으로는, 제 2 프로세스 유닛(34) 및 제 3 프로세스 유닛(36)에 있어서, 2000장의 웨이퍼(W)에 있어서의 절연막의 상층의 제거를 실행해도, 챔버(38)이나 챔버(50)의 내벽 등에 파티클의 부착이 거의 관측되지 않는다. 따라서, 전자 디바이스에 있어서 파티클을 통한 배선의 단락 등이 발생하는 일이 없고, 전자 디바이스의 신뢰성을 향상시킬 수 있다.
다음에, 본 실시 형태에 따른 기판의 처리 방법에 대해서 설명한다.
본 처리에 있어서는, 전자 디바이스의 제조 공정에 있어서, SiO2에 의해 형성되는 절연막의 막 두께를 원하는 두께로 에칭하는 처리를 실행한다. 구체적으로는, 전자 디바이스로서의 CCD 센서의 제조 공정에 있어서, 칼라 필터가 형성되는 평탄화막이나 칼라 필터의 보호막을 원하는 막 두께로 에칭하는 처리를 실행한다.
도 6a 및 도 6b는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 CCD 센서의 개략 구성을 도시한 도면이며, 도 6a는 CCD 센서에 있어서 웨이퍼(W)상의 소자를 설명하는 도면이며, 도 6b는 CCD 센서의 부분 단면도이다.
도 6a에 도시하는 바와 같이, CCD 센서(200)는 웨이퍼(W)와, 웨이퍼(W)상에 매트릭스 형상으로 마련된 복수의 수광부로서의 광전 변환 소자(칩)(210)와, 광전 변환 소자(210)의 도면중 종방향의 각 열에 따라 마련된 복수의 수직 전송 레지스터부(220)와, 수직 전송 레지스터부(220)의 도면중 상방에 있어서 광전 변환 소자(210)의 도면중 횡방향을 따라 마련된 수평 전송 레지스터부(230)와, 수평 전송 레지스터부(240)에 접속된 출력부(240)를 구비한다.
광전 변환 소자(210)는 예를 들면 포토다이오드의 구성을 갖고 있고, 수광면에서 입사한 광을 그 광량에 대응한 신호 전하로 변환한다. 수직 전송 레지스터부(220)는 도시하지 않는 스위칭 소자와 배선을 구비하고, 각 광전 변환 소자(210)에 축적된 신호 전하를 도시하지 않은 판독 게이트부를 거쳐서 수신해서 수직 방향으로 전송한다. 수평 전송 레지스터부(230)는 수직 전송 레지스터부(220)로부터 수 신한 신호 전하를 수평 방향으로 전송해서 출력부(240)에 송신한다. 출력부(240)는 수평 전송 레지스터부(230)로부터 수신한 신호 전하를 화상 신호로서 출력한다.
또한, 도 6b에 도시하는 바와 같이, 웨이퍼(W)상에는 SiO2 산화막으로 이뤄지는 절연막(251)이 형성되어 있고, 수직 전송 레지스터부(220)는 절연막(251)상에 형성된 전송 전극(신호 전하 전송부)(221)과, 층간 절연막(222)을 거쳐서 전송 전극(221)을 커버하도록 형성된 알루미늄 등의 금속으로 이루어지는 차광막(223)을 구비한다. 또한, CCD 센서(200)는 광전 변환 소자(210) 및 수직 전송 레지스터부(220)를 커버하도록 웨이퍼(W)상에 형성된 Si3N4로 이루어지는 보호막으로서의 실리콘 질화막(252)과, 실리콘 질화막(252)을 커버하도록 형성되어 있는 동시에 상면이 평탄화된 실리콘막(252)보다 굴절율이 낮은 절연 재료(SiO2)로 이루어지는 평탄화막(253)과, 평탄화막(253)상에 형성된 녹색 칼라 필터(255), 적색 칼라 필터(256), 및 청색 칼라 필터(257)로 이루어지는 칼라 필터(254)와, 칼라 필터(254)상에 형성된 절연재료(SiO2)로 이루어지는 보호막(258)과, 보호막(258)상에 형성된 마이크로 렌즈(259)를 구비한다.
도 7a 및 도 7b는 본 실시 형태에 따른 기판의 처리 방법을 도시한 도면이다.
이후에 있어서는, 도 6a 및 도 6b에 도시하는 CCD 센서(200)에 있어서의 평탄화막(253)을 형성하기 위해서, 본 처리가 실행될 경우에 대해서 설명한다. 보호막(258)을 형성할 경우에 있어서도 본 처리가 실행되지만, 평탄화막(253)을 형성할 때와 마찬가지로 처리되므로 설명을 생략한다.
우선, 본 처리에 앞서, 광전 변환 소자(210)가 매트릭스 형상으로 형성된 웨이퍼(W)상에 절연막(251)을 성막하고, 폴리 실리콘이나 비결정 실리콘 등의 도전성 재료로 구성되는 도전성막을 성막하고, 전송 전극(221)을 형성하기 위해 소정의 패턴에 포토레지스트층을 형성한다. 다음에, 이 포토레지스트층을 마스크로서 이용해서 RIE 처리에 의해 도전성막을 에칭하고, 전송 전극(221)을 형성한다.
다음에, 층간 절연막(222)을 형성하기 위해 절연막을 성막하고, 마찬가지로 포토레지스트층을 마스크로서 이용해서 절연막을 RIE 처리에 의해 에칭하고, 층간 절연막(222)을 형성한다. 이어서, 차광막(223)을 형성하기 위해 도전성 금속막을 성막해서, 마찬가지로 포토레지스트층을 마스크로서 이용해서 금속막 및 광전 변환 소자(210)의 바로 근방까지 절연막(251)을 RIE 처리에 의해 에칭해서 차광막(223) 및 구멍(251a)을 형성한다. 그리고, Si3N4로 이루어지는 실리콘 질화막(252)을 전면에 형성하고, SiO2로 이루어지는 소정의 두께의 절연막(261)을 전면에 형성한다(도 7a 참조). 절연막(261)은 본 기판의 처리에 의해 원하는 두께의 평탄화막(253)이 형성되도록, 평탄화막(253)의 소망의 두께보다 두꺼운 막 두께로 되도록 형성되어 있다.
우선, 상술의 원하는 두께의 평탄화막(253)을 형성하기 위해서 절연막(261)이 형성된 웨이퍼(W)(도 7a 참조)를 제 2 프로세스 유닛(34)의 챔버(38)에 수용하고, 상기 챔버(38)내의 압력을 소정의 압력으로 조정하고, 챔버(38)내에 암모니아 가스, 불화 수소 가스 및 희석 가스로서의 아르곤(Ar) 가스를 도입하고, 챔버(38)내를 이것들로 이루어지는 혼합 기체의 분위기로 해서 절연막(261)을 소정의 압력하에서 혼합 기체의 분위기에 노출한다(절연막 노출 단계)(도 7b 참조). 이에 의해, 절연막(261)을 형성하는 SiO2가 암모니아 가스 및 불화 수소 가스로부터 착체(錯體) 구조를 갖는 생성물을 생성해서 절연막(261)의 상층을 생성물로 이루어지는 생성물층(262)으로 변질시킨다(도 7c 참조).
다음에, 생성물층(262)이 형성된 웨이퍼(W)를 제 3 프로세스 유닛(36)의 챔버(50)내의 스테이지 히터(51)상에 탑재하고, 상기 챔버(50)내의 압력을 소정의 압력으로 조정하고, 챔버(50)내에 질소 가스를 도입해서 점성류를 생기게 하고, 스테이지 히터(51)에 의해 웨이퍼(W)를 소정의 온도로 가열한다(절연막 가열 단계). 이 때, 열에 의해 생성물층(262)의 생성물의 착체 구조가 분해하고, 생성물은 4불화 규소(SiF4), 암모니아, 불화 수소로 분리해서 기화한다(도 7d 참조). 기화한 이것들의 분자는 점성류에 감아들어가서 제 3 프로세스 유닛 배기계(67)에 의해 챔버(50)로부터 배출된다. 이에 의해, 절연막(261)은 상층이 제거되어서 원하는 두께의 평탄화막(253)이 형성된다(도 7e 참조).
상술의 처리에 있어서, 형성하는 평탄화막(253)의 막 두께는 생성물층(262)의 두께에 의해 결정된다. 생성물의 생성량은 암모니아 가스 및 불화 수소 가스의 혼합 기체의 분압이나 암모니아 가스에 대한 불화 수소 가스의 체적 유량비 등의 혼합 기체의 파라메터, 챔버(38)내의 압력이나 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등의 파라메터에 의해 결정된다. 이 때문에, 생성물층(262)의 두께는 상술의 혼합 기체의 파라메터 등을 제어하는 것에 의해 용이하게 조정할 수 있다. 따라서, 혼합 기체의 압력이나 체적 유량비 등의 혼합 기체의 파라메터 등을 제어하는 것에 의해, 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있다. 이에 의해, CCD 센서(200)에 있어서, 평탄화막(253)을 박막화할 수 있다.
생성물층(262)의 두께의 조정, 즉 평탄화막(253)의 막 두께의 제어 방법에 대해서 구체적으로 설명한다. 우선, 웨이퍼(W)에 COR 처리를 실시하기 전에, 절연막(261)의 표면 형상, 예컨대 막 두께의 CD값을 측정한다(처리전 형상 측정 단계). 이어서, EC(89)의 CPU가 측정된 표면 형상의 측정값과 미리 설정해 둔 평탄화막(253)의 소망하는 막 두께를 비교하고, 절연막(261)의 표면 형상 및 평탄화막(253)의 소망하는 막 두께에 대한 절연막(261)의 상층의 제거량과의 관계를 나타내는 제거량 데이터에 기초하여, COR 처리 조건 파라메터(제 1 처리 조건) 및 PHT 처리 조건 파라메터(제 2 처리 조건)를 결정한다(처리 조건 결정 단계). 상술의 제거량 데이터는 예를 들면 실험에 의해 미리 설정되어 있고, 이 제거량 데이터 및 평탄화막(253)의 소망하는 막 두께의 데이터는 미리 EC(89)의 기억부에 기억되어 있다. 또한 상술한 바와 같이, COR 처리 조건 파라메터로서는 암모니아 가스 및 불화 수소 가스의 혼합 기체의 분압이나 암모니아 가스에 대한 불화 수소 가스의 체적 유량비 등의 혼합 기체의 파라메터, 챔버(38)내의 압력 등이 있고, PHT 처리 조건 파라메터로서는 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등이 있다. 이에 의해, 절연막(261)의 상층의 제거량(생성물층(262)의 막 두께의 성장량)의 제어를 정확하게 실행할 수 있고, 따라서 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있고, 평탄화막(253)을 박막화할 수 있다. 또한, 평탄화막(253)의 박막화의 효율을 향상시킬 수 있다.
다음에, COR 처리 및 PHT 처리후의 절연막(261)의 표면 형상을 측정한다(처리후 형상 측정 단계). EC(89)의 CPU가 측정된 표면 형상의 측정값과 평탄화막(253)의 소망하는 막 두께를 비교하고, 상술의 제거량 데이터에 기초하여, 상술한 바와 같이 결정된 COR 처리 조건 파라메터 및 PHT 처리 조건 파라메터를 변경한다(처리 조건 변경 단계). 이에 의해, 절연막(261)의 상층의 제거량의 제어를 또한 정확하게 실행할 수 있고, 그에 따라 평탄화막(253)을 원하는 두께로 또한 정확하게 형성할 수 있고, 평탄화막(253)을 보다 박막화할 수 있다. 또한, 평탄화막(253)의 박막화의 효율을 보다 향상시킬 수 있다.
또한, 웨이퍼(W)에 COR 처리를 실시하기 전에, 절연막(261)의 표면 형상, 예컨대, 막 두께의 CD값을 측정하고, 측정된 표면 형상의 측정값에 따라, EC(89)의 CPU가 절연막(261)의 표면 형상과 절연막(261)의 상층의 제거량에 관련되는 처리 조건 파라메터와의 소정의 관계에 기초하여, COR 처리 또는PHT 처리에 있어서의 처리 조건 파라메터의 값을 결정하는 것도 바람직하다. 이에 의해, 절연막(261)의 상층의 제거량(생성물층(262)의 막 두께의 성장량)의 제어를 정확하게 실행할 수 있고, 그에 따라 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있고, 평탄화막(253)을 박막화할 수 있다. 또한, 평탄화막(253)의 박막화의 효율을 향상시킬 수 있다.
상기 소정의 관계는 복수의 웨이퍼(W)를 처리하는 로트의 초기에 있어서, 제 1 IMS(17)에 의해 측정된 COR 처리 및 PHT 처리를 실시하기 전 및 실시한 후에 있어서의 절연막(261)의 표면 형상의 차, 즉 COR 처리 및 PHT 처리에 의한 절연막(261)의 상층의 제거량과, 이 때의 COR 처리 및 PHT 처리에 있어서의 처리 조건 파라메터에 기초해서 설정된다. 처리 조건 파라메터로서는, 상술한 바와 같이, 암모니아 가스 및 불화 수소 가스의 혼합 기체의 압력이나 암모니아 가스에 대한 불화 수소 가스의 체적 유량비, 챔버(38)내의 소정의 압력이나 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등이 해당한다. 이렇게 하여 설정된 소정의 관계는 EC(89)의 HDD 등에 저장되고, 로트의 초기 이후에 있어서의 웨이퍼(W)의 처리에 있어서 상술한 바와 같이 처리 조건 파라메터로서 참조된다.
또한, 어떤 웨이퍼(W)의 COR 처리 및 PHT 처리를 실시하기 전 및 실시한 후에 있어서의 절연막(261)의 표면 형상의 차에 기초하여, 상기 웨이퍼(W)에 두 번째 COR 처리 및 PHT 처리를 실시할 것인가 아닌가를 결정해도 좋고, 또한 두 번째 COR 처리 및 PHT 처리를 실시할 경우에는, EC(89)의 CPU가 상기 웨이퍼(W)의 COR 처리 및 PHT 처리를 실시한 후에 있어서의 절연막(261)의 표면 형상에 따라, 상기 소정의 관계에 기초해서 COR 처리 및 PHT 처리의 조건 파라메터를 결정해도 좋다.
또한, 제 1 IMS(17)에 의해 측정된 COR 처리 및 PHT 처리를 실시한 후에 있어서의 절연막(261)의 표면 형상을 광전 변환 소자(210)의 각각에 있어서 미리 설정된 측정 점에 있어서 측정하고, 모든 측정 점에 있어서 원하는 막 두께까지 절연막(261)이 제거되었을 경우만, 웨이퍼(W)를 다음 공정으로 이동하기 위해서 반송하 도록 해도 좋다. 이에 의해, CCD 센서(200)의 양품율을 향상시킬 수 있다.
제 2 프로세스 유닛(34)에 있어서, 불화 수소 가스는 수분과 반응하기 쉽기 때문에, 챔버(38)에 있어서의 암모니아 가스의 체적과 불화 수소 가스의 체적보다 많게 설정하는 것이 바람직하고, 또한 챔버(38)에 있어서의 물 분자는 될 수 있는 한 제거하는 것이 바람직하다. 구체적으로는, 챔버(38)내의 혼합 기체에 있어서의 암모니아 가스에 대한 불화 수소 가스의 체적 유량(SCCM)비는 1~½인 것이 바람직하고, 또한, 챔버(38)내의 소정의 압력은 6.7×10-2~4.0 Pa(0.5~30 mTorr)인 것이 바람직하다. 이에 의해, 챔버(38)내의 혼합 기체의 유량비 등을 안정하기 위해서, 생성물의 생성을 조장할 수 있다.
또한, 챔버(38)내의 소정의 압력을 6.7×10-2~4.0 pa(0.5~30 mTorr)로 하면, 생성물의 생성량을 소정 시간 경과 후에 확실하게 포화시킬 수 있고, 이에 의해, 에칭 깊이(제거량)를 확실하게 제어 할 수 있다(셀프 리미티드). 예를 들면, 챔버(38)내의 소정의 압력이 1.3 Pa(10 mTorr)일 경우, 에칭의 진행은 COR 처리 개시로부터 약 3분 경과 후에 정지한다. 이 때의 에칭 깊이는 대략 15 ㎚이다. 또한, 챔버(38)내의 소정의 압력이 2.7 Pa(20 mTorr)일 경우, 에칭의 진행은 COR 처리 개시로부터 약 3분 경과 후에 정지한다. 이 때의 에칭 깊이는 대략 24 ㎚이다.
또한, 반응물은 상온 근방에서 반응이 촉진되기 때문에, 웨이퍼(W)를 탑재하는 ESC(39)는 내장하는 조온 기구(도시하지 않음)에 의해 그 온도가 25 ℃로 설정되는 것이 바람직하다. 또한, 온도가 높은 정도 챔버(38)내에 발생한 부 생성물이 부착되기 어렵기 때문에, 챔버(38)내의 내벽 온도는 측벽에 매설된 히터(도시하지 않음)에 의해 50 ℃로 설정되는 것이 바람직하다.
제 3 프로세스 유닛(36)에 있어서, 반응물은 배위결합을 포함하는 착화합물(Complex compound)이며, 착화합물은 결합력이 약하고, 비교적 저온에 있어서도 열분해가 촉진되므로, 웨이퍼(W)의 소정의 온도는 80~200 ℃인 것이 바람직하고, 또한 웨이퍼(W)에 PHT 처리를 실시하는 시간은 60~180 초인 것이 바람직하다. 또한, 챔버(50)에 점성류를 생기게 하기 위해서는, 챔버(50)내의 진공도를 높이는 것은 바람직하지 못하고, 또한 일정한 유량의 가스류가 필요하다. 따라서, 상기 챔버(50)에 있어서의 소정의 압력은 6.7x10~1.3x102 Pa(500 mTorr~1 Torr)인 것이 바람직하고, 질소 가스의 유량은 500~3000 SCCM인 것이 바람직하다. 이에 의해, 챔버(50)내에 있어서 점성류를 확실하게 생기게 할 수 있기 때문에, 생성물의 열분해에 의해 생긴 기체 분자를 확실하게 제거할 수 있다.
본 처리에 의해, 평탄화막(253)이 형성된 웨이퍼(W)는, 다음에 칼라 필터(254)가 형성되고, 상술의 평탄화막(253)의 형성과 마찬가지로 본 처리에 의해 보호막(258)이 형성되고, 마이크로 렌즈(259)가 형성되고, CCD 센서(200)가 제조된다.
상술과 같이, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 원하는 두께의 평탄화막(253)을 형성하기 위해서 SiO2로 되는 소정의 두께의 절연막(261)이 형성된 웨이퍼(W)가 소정의 압력하에서 암모니아 가스, 불화 수소 가스 및 아르곤 가스로 이루어지는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 웨이퍼(W)가 소정의 온도로 가열된다. 이에 의해, 절연막(261)을 형성하는 SiO2, 암모니아 가스, 및 불화 수소 가스로 착체 구조를 갖는 생성물이 생성되어서 원하는 두께의 생성물층(262)이 생성된다. 상기 생성된 생성물에 있어서 생성물의 착체 구조가 열에 의해 분해하고, 생성물은 4불화규소, 암모니아, 불화 수소에 분리해서 기화한다. 이 생성물의 기화에 의해, 절연막(261)의 상층의 생성물층(262)을 제거하고, 원하는 두께의 평탄화막(253)을 형성할 수 있다.
이 때, 생성물의 생성량, 즉 생성물층(262)의 두께는 암모니아 가스 및 불화 수소 가스의 혼합 기체의 압력이나, 암모니아 가스에 대한 불화 수소 가스의 체적 유량비 등의 혼합 기체의 파라메터, 챔버(38)내의 압력이나, 스테이지 히터(51)에 탑재된 웨이퍼(W)의 가열 온도 등의 파라메터에 의해 제어할 수 있다. 따라서, 혼합 기체 등의 파라미터를 제어하는 것에 의해 생성되는 생성물층(262)의 두께를 정확하게 제어할 수 있고, 절연막(261)의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 이러한 등방성 에칭에 의해, 평탄화막(253)을 원하는 두께로 정확하게 형성할 수 있고, 평탄화막(253)을 박막화할 수 있다. 이 때문에, CCD 센서(200)의 집광성을 향상시켜서 광전 변환 소자(210)의 감도를 향상시킬 수 있고, 또 CCD 센서(200)의 제조에 있어서 기초 디바이스에 대한 상층의 구성 요소의 위치 맞춤을 정확하게 실행할 수 있다.
또한, 생성물의 생성량은 소정 시간이 경과하면 포화하므로, 본 처리에 있어 서 절연막(261)이 모두 제거되는 일은 없다. 따라서, 웨이퍼(W)로 제조되는 CCD 센서의 배선 신뢰성의 저하를 방지할 수 있다.
또한, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 웨이퍼(W)에 플라즈마 에칭 처리가 실시되어서 절연막(261)의 상층이 제거되므로, 웨이퍼(W)로 제조되는 CCD 센서(200)에 있어서, 게이트 전극에 전하가 축적되지 않기 때문에, 게이트 산화막의 열화나 파괴를 방지하는 것이 가능하고, 에너지 입자가 전자 디바이스에 조사되는 일이 없기 때문에, CCD 센서(200)에 있어서의 결정 결함의 발생을 방지하는 것이 가능하고, 또한 플라즈마에 기인하는 예기하지 않는 화학 반응이 일어나지 않기 때문에, 불순물의 발생을 방지하는 것이 가능하고, 이에 의해 챔버(38)나 챔버(50)내가 오염되는 것을 방지할 수 있다.
또한, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 웨이퍼(W)에 드라이 클리이닝 처리가 실시되어서 절연막(261)의 상층이 제거되므로, 웨이퍼(W)의 표면의 물성의 변화를 억제할 수 있고, 또한 웨이퍼(W)로 제조되는 CCD 센서(200)에 있어서의 배선 신뢰성의 저하를 확실하게 방지할 수 있다.
따라서, 본 실시 형태에 따른 기판의 처리 방법에 의하면, 전자 디바이스에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있다. 이에 의해, 절연막을 박막화할 수 있다.
본 실시 형태에 따른 기판의 처리 방법은, 상술한 바와 같이, CCD 센서의 칼라 필터를 형성하는 평탄화막이나 칼라 필터의 보호막을 원하는 두께로 형성할 경 우에 적용되는 것으로 한정되지 않고, 다른 전자 디바이스에 있어서 SiO2로 이루어지는 절연막의 두께를 원하는 두께로 정확하게 형성할 경우에도 적용 가능하다. 예를 들면, 본 기판의 처리 방법은 웨이퍼(W)의 바로 위에 성막하는 절연막이나 층간 절연막의 박막화에 적용할 수 있다.
또한, 본 실시 형태에 따른 기판의 처리 방법은, 상술한 바와 같이, CCD 센서를 제조하기 위해서 이용하는 것으로 한정되지 않고, 다른 전자 디바이스, 예컨대 적어도 표면에 광학적으로 투명한 절연성의 박막을 갖는 CCD용의 박막 디바이스 등을 제조하기 위해서 이용할 수 있는 것이라도 좋다.
또한, CCD 센서는, 상술의 CCD 센서(200)로 한정되지 않고, 다른 구성을 갖는 것이라도 좋다. 예를 들면, CCD 센서(200)는 실리콘 질화막(252) 대신에 CVD법에 의해 형성된 부정형의 박막으로 이루어지는 광투과 전극을 구비하는 것이라도 좋다.
본 발명은, 상술의 실시 형태에 한정되는 아니고, 예컨대, 상술의 기판의 처리 방법을 구비하는 전자 디바이스의 제조 방법, 고체 촬상 소자의 제조 방법, CCD용의 박막 디바이스의 제조 방법이여도 좋다.
도 8은 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 1 변형예의 개략 구성을 도시하는 평면도이다. 또한, 도 8에 있어서는, 도 1의 기판 처리 장치(10)에 있어서의 구성 요소와 동일한 구성 요소에는 동일한 도면부호를 붙이고, 그 설명을 생략한다.
도 8에 있어서, 기판 처리 장치(137)는 평면에서 보아 6각형의 트랜스퍼 유닛(138)과, 상기 트랜스퍼 유닛(138)의 주위에 있어서 방사상으로 배치된 4개의 프로세스 유닛(139~142)과, 로더 유닛(13)과, 트랜스퍼 유닛(138)과 로더 유닛(13) 사이에 배치되어, 트랜스퍼 유닛(138) 및 로더 유닛(13)을 연결하는 2개의 로드록 유닛(143, 144)을 구비한다.
트랜스퍼 유닛(138) 및 각 프로세스 유닛(139~142)은 내부의 압력이 진공으로 유지되고, 트랜스퍼 유닛(138)과 각 프로세스 유닛(139~142)은 각각 진공 게이트 밸브(145~148)를 거쳐서 접속된다.
기판 처리 장치(137)에서는 로더 유닛(13)의 내부 압력이 대기압으로 유지되는 한편, 트랜스퍼 유닛(138)의 내부 압력은 진공으로 유지된다. 그 때문에, 각 로드록 유닛(143, 144)은 각각 트랜스퍼 유닛(138)과의 연결부에 진공 게이트 밸브(149, 150)를 구비하는 동시에, 로더 유닛(13)과의 연결부에 대기 도어 밸브(151, 152)를 구비하는 것에 의해, 그 내부 압력을 조정 가능한 진공 예비 반송실로서 구성된다. 또한, 각 로드록 유닛(143, 144)은 로더 유닛(13)과 트랜스퍼 유닛(138) 사이에 있어서 주고 받는 웨이퍼(W)를 일시적으로 탑재하기 위한 웨이퍼 탑재대(153, 154)를 갖는다.
트랜스퍼 유닛(138)은 그 내부에 배치된 굴신 및 선회 가능하게 이루어진 프로그 레그 타입(frog leg type)의 반송 암(155)을 구비하고, 상기 반송 암(155)은 각 프로세스 유닛(139~142)이나 각 로드록 유닛(143, 144)의 사이에 있어서 웨이퍼(W)를 반송한다.
각 프로세스 유닛(139~142)은 각각 처리가 실시되는 웨이퍼(W)를 탑재하는 탑재대(156~159)를 구비한다. 여기에서, 프로세스 유닛(140)은 기판 처리 장치(10)에 있어서의 제 1 프로세스 유닛(25)과 동일한 구성을 갖고, 프로세스 유닛(141)은 제 2 프로세스 유닛(34)과 동일한 구성을 갖고, 프로세스 유닛(142)은 제 3 프로세스 유닛(36)과 동일한 구성을 갖는다. 따라서, 프로세스 유닛(140)은 웨이퍼(W)에 RIE 처리를 실시하고, 프로세스 유닛(141)은 웨이퍼(W)에 COR 처리를 실시하고, 프로세스 유닛(142)은 웨이퍼(W)에 PHT 처리를 실시할 수 있다.
기판 처리 장치(137)에서는 상술의 기판 처리 장치(10)와 마찬가지로 원하는 두께의 평탄화막(253)이나 보호막(258)을 형성하기 위해서 SiO2로 되는 소정의 두께의 절연막(261)이 형성된 웨이퍼(W)(도 7a 참조)를 프로세스 유닛(141)에 반입해서 COR 처리를 실시하고, 또한 프로세스 유닛(142)에 반입해서 PHT 처리를 함으로써, 상술한 본 실시 형태에 따른 기판의 처리 방법을 실행한다.
또한, 기판 처리 장치(137)에 있어서의 각 구성 요소의 동작은 기판 처리 장치(10)에 있어서의 시스템 컨트롤러와 동일한 구성을 갖는 시스템 컨트롤러에 의해 제어된다.
도 9는 본 실시 형태에 따른 기판의 처리 방법이 적용되는 기판 처리 장치의 제 2 변형예의 개략 구성을 도시하는 평면도이다. 또한, 도 9에 있어서는, 도 1의 기판 처리 장치(10) 및 도 8의 기판 처리 장치(137)에 있어서의 구성 요소와 동일한 구성 요소에는 동일한 도면부호를 붙이고, 그 설명을 생략한다.
도 9에 있어서, 기판 처리 장치(160)는 도 8의 기판 처리 장치(137)에 대하여, 2개의 프로세스 유닛(161, 162)이 추가되고, 이것에 대응해서 트랜스퍼 유닛(163)의 형상도 기판 처리 장치(137)에 있어서의 트랜스퍼 유닛(138)의 형상과 상이하다. 추가된 2개의 프로세스 유닛(161, 162)은 각각 진공 게이트 밸브(164, 165)를 거쳐서 트랜스퍼 유닛(163)과 접속되는 동시에, 웨이퍼(W)의 탑재대(166, 167)를 갖는다.
또한, 트랜스퍼 유닛(163)은, 2개의 스칼라 암 타입의 반송 암으로 이루어지는 반송 암 유닛(168)을 구비한다. 상기 반송 암 유닛(168)은 트랜스퍼 유닛(163)내에 배설된 가이드 레일(169)에 따라 이동하고, 각 프로세스 유닛(139~142, 161, 162)이나 각 로드록 유닛(143, 144)의 사이에 있어서 웨이퍼(W)를 반송한다.
기판 처리 장치(160)에서는, 기판 처리 장치(137)와 마찬가지로 원하는 두께의 평탄화막(253)이나 보호막(258)을 형성하기 위해서 SiO2로 되는 소정의 두께의 절연막(261)이 형성된 웨이퍼(W)(도 7a 참조)를 프로세스 유닛(141)에 반입해서 COR 처리를 실시하고, 또한 프로세스 유닛(142)에 반입해서 PHT 처리를 함으로써, 상술한 본 실시 형태에 따른 기판의 처리 방법을 실행한다.
또한, 기판 처리 장치(160)에 있어서의 각 구성 요소의 동작도, 기판 처리 장치(10)에 있어서의 시스템 컨트롤러와 동일한 구성을 갖는 시스템 컨트롤러에 의해 제어된다.
또한, 상술한 전자 디바이스에는 소위 반도체 디바이스 이외에, 강유전체, 고유전체 등의 절연성 금속 산화물, 특히 페로브스카이트(Perovskite)형 결정 구조를 갖는 물질로 되는 박막을 갖는 비휘발성 또는 대용량의 메모리 소자도 포함한다. 페로브스카이트(Perovskite)형 결정 구조를 갖는 물질로서는 티탄산 지르콘산납(PZT), 티탄산 바륨 스트론튬(BST), 및 탄탈산 니오브 스트론튬 비스무트(SBNT) 등이 해당한다.
또한, 본 발명의 목적은 상술한 본 실시 형태의 기능을 실현하는 소프트웨어의 프로그램 코드를 기록한 기록 매체를 시스템 또는 장치(EC(89))에 공급하고, EC(89)의 컴퓨터(또는 CPU나 MPU 등)가 기록 매체에 저장한 프로그램 코드를 판독해서 실행함으로써 달성된다.
이 경우, 기록 매체로부터 판독된 프로그램 코드 자체가 상술한 본 실시 형태의 기능을 실현하는 것으로 되고, 그 프로그램 코드 및 상기 프로그램 코드를 기록한 기록 매체는 본 발명을 구성하게 된다.
또한, 프로그램 코드를 공급하기 위한 기록 매체로서는, 예컨대 플로피(등록상표) 디스크, 하드 디스크, 광자기 디스크, CD-ROM, CD-R, CD-RW, DVD-ROM, DVD-RAM, DVD-RW, DVD+RW 등의 광 디스크, 자기 테이프, 비휘발성의 메모리 카드, ROM 등을 이용할 수 있다. 또한, 프로그램 코드를 네트워크를 거쳐서 다운로드해도 좋다.
또한, 컴퓨터가 판독한 프로그램 코드를 실행함으로써, 상기 본 실시 형태의 기능이 실현될 뿐만 아니라, 그 프로그램 코드의 지시에 기초하여, 컴퓨터상에서 가동하고 있는 OS(오퍼레이팅 시스템) 등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 상술한 본 실시 형태의 기능이 실현될 경우도 포함된다.
또한, 기록 매체로부터 판독된 프로그램 코드가 컴퓨터에 삽입된 기능 확장 보드나 컴퓨터에 접속된 기능 확장 유닛에 갖춰지는 메모리에 기입된 후, 그 프로그램 코드의 지시에 기초하여, 그 확장 기능을 확장 보드나 확장 유닛에 갖춰지는 CPU 등이 실제의 처리의 일부 또는 전부를 행하고, 그 처리에 의해 상술한 본 실시 형태의 기능이 실현될 경우도 포함된다.
상기 프로그램 코드의 형태는 오브젝트 코드(object code), 인터프리터(interpreter)에 의해 실행되는 프로그램 코드, OS에 공급되는 스크립트 데이터 등의 형태로부터 이루어져도 좋다.
본 발명의 고체 촬상 소자의 제조 방법에 의하면, 고체 촬상 소자의 기판의 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열된다. 절연막이 소정의 압력하에서 암모니아와 불화 수소를 포함하는 혼합 기체의 분위기에 노출되면, 절연막 및 혼합 기체에 기초한 생성물이 생성되고, 상기 혼합 기체의 분위기에 노출된 절연막이 소정의 온도로 가열되면, 상기 생성된 생성물이 가열되어서 기화한다. 이 생성물의 기화에 의해, 절연막의 상층을 제거할 수 있다. 이 때, 생성물의 생성량, 즉 절연막의 상층의 제거량(막 두께)은 혼합 기체의 파라메터에 의해 정확하게 제어할 수 있다. 또한, 혼합 기체에의 노출 및 가열은 고체 촬상 소자의 기판이 구비하는 각 소자에 손상을 주는 일이 없다. 따라서, 기판으로 제조되는 고체 촬상 소자에 손상을 주지 않고 절연막의 제거량의 제어를 정확하게 실행할 수 있는 효과가 있으며, 이에 의해 절연막을 박막화할 수 있는 효과가 있다.

Claims (17)

  1. 고체 촬상 소자의 제조 방법으로서,
    상기 고체 촬상 소자의 기판이 구비하는 절연막의 두께를 측정하고, 상기 측정된 두께에 따라, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 생성물 생성 조건을 결정하는 생성물 생성 조건 결정 단계와,
    상기 절연막을 상기 생성물 생성 조건 하에서 상기 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와,
    상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 단계를 포함하는
    고체 촬상 소자의 제조 방법.
  2. 제 1 항에 있어서,
    상기 절연막 노출 단계는 상기 기판에 플라즈마레스 에칭 처리를 실시하는
    고체 촬상 소자의 제조 방법.
  3. 제 1 항에 있어서,
    상기 절연막 노출 단계는 상기 기판에 건조 세정 처리를 실시하는
    고체 촬상 소자의 제조 방법.
  4. 삭제
  5. 제 1 항에 있어서,
    상기 혼합 기체에 있어서의 상기 암모니아에 대한 상기 불화 수소의 체적 유량비는 1~½이며, 상기 혼합 기체의 압력은 6.7×10-2~4.0 pa인
    고체 촬상 소자의 제조 방법.
  6. 제 1 항에 있어서,
    상기 소정의 온도는 80~200 ℃인
    고체 촬상 소자의 제조 방법.
  7. 고체 촬상 소자의 제조 방법으로서,
    상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와,
    상기 절연막의 두께를 측정하는 처리전 두께 측정 단계와,
    상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 단계와,
    상기 절연막 노출 처리 조건에 기초해서 상기 절연막을 상기 혼합 기체의 분위기에 노출시키는 절연막 노출 단계와,
    상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연막을 가열하는 절연막 가열 단계를 포함하는
    고체 촬상 소자의 제조 방법.
  8. 제 7 항에 있어서,
    상기 절연막 가열 단계의 후에 상기 절연막의 두께를 측정하는 처리후 두께 측정 단계와,
    상기 처리후 두께 측정 단계에서 측정된 두께와 상기 결정된 막 두께를 비교해서 상기 절연막 노출 처리 조건 및 상기 절연막 가열 처리 조건을 변경하는 처리 조건 변경 단계를 더 포함하는
    고체 촬상 소자의 제조 방법.
  9. 삭제
  10. 기판에 매트릭스 형상으로 마련된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 마련된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된, 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 사이에 두고 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법으로서,
    상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 단계와,
    상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정 패턴의 레지스트를 형성하는 레지스트 패터닝 단계와,
    상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 단계와,
    상기 레지스트를 제거하는 레지스트 제거 단계와,
    상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 단계와,
    상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성함과 아울러, 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 단계와,
    상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 단계와,
    상기 칼라 필터상에 제 2 절연층을 형성함과 아울러 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 단계
    를 포함하며,
    상기 평탄화막 형성 단계 및 상기 보호막 형성 단계가, 상기 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와, 상기 절연막의 두께를 측정하는 처리전 두께 측정 단계와, 상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 단계와, 상기 절연막 노출 처리 조건에 기초해서 상기 제 1 절연층 및 상기 제 2 절연층을 상기 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 상기 제 2 절연층을 가열하는 절연막 가열 단계를 각각 포함하는
    고체 촬상 소자의 제조 방법.
  11. 고체 촬상 소자의 제조 방법으로서,
    수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 단계와,
    상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 단계와,
    상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 단계와,
    상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 단계와,
    상기 절연막을 사이에 두고 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 단계
    를 포함하며,
    상기 절연막 형성 단계는, 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 단계와, 상기 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와, 상기 절연막의 두께를 측정하는 처리전 두께 측정 단계와, 상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 단계와, 상기 절연막 노출 처리 조건에 기초해서 상기 도포된 절연재를 상기 혼합 기체의 분위기에 노출하는 절연막 노출 단계와, 상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연재를 가열하는 절연재 가열 단계를 포함하는
    고체 촬상 소자의 제조 방법.
  12. 기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법으로서,
    상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 단계와,
    상기 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 단계와,
    상기 절연막의 두께를 측정하는 처리전 두께 측정 단계와,
    상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 단계와,
    상기 절연막 노출 처리 조건에 기초해서 상기 절연성의 막을 상기 혼합 기체의 분위기에 노출하는 막노출 단계와,
    상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연성의 막을 가열하는 막가열 단계와,
    상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관한 검사를 실행하는 막검사 단계와,
    상기 막검사 단계에 있어서, 상기 각 칩에 있어서의 상기 검사 개소에서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있는 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 단계를 포함하는
    CCD용의 박막 디바이스의 제조 방법.
  13. 기판의 처리 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체로서,
    상기 기판이 구비하는 절연막의 두께를 측정하고, 상기 측정된 두께에 따라, 암모니아와 불화 수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 불화 수소의 체적 유량비를 포함하는 생성물 생성 조건을 결정하는 생성물 생성 조건 결정 모듈과,
    상기 절연막을 상기 결정된 생성물 생성 조건하에서 상기 혼합 기체의 분위기에 노출시키는 절연막 노출 모듈과,
    상기 혼합 기체의 분위기에 노출된 절연막을 소정의 온도로 가열하는 절연막 가열 모듈을 포함하는
    프로그램을 기록한 기록 매체.
  14. 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체로서,
    상기 고체 촬상 소자의 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과,
    상기 절연막의 두께를 측정하는 처리전 두께 측정 모듈과,
    상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 모듈과,
    상기 절연막 노출 처리 조건에 기초해서 상기 절연막을 상기 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과,
    상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연막을 가열하는 절연막 가열 모듈을 포함하는
    프로그램을 기록한 기록 매체.
  15. 기판에 매트릭스 형상으로 마련된 복수의 광전 변환 소자와, 상기 복수의 광전 변환 소자가 마련된 상기 기판상에 형성된 절연막과, 상기 광전 변환 소자에 인접해서 형성된, 스위칭 소자와 배선으로 구성되는 신호 전하 전송 전극과, 상기 신호 전하 전송 전극상에 형성된 층간 절연막과, 상기 신호 전하 전송 전극상에 상기 층간 절연막을 사이에 두고 형성된 금속막으로 이루어지는 차광막을 구비하는 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체로서,
    상기 차광막을 형성하기 위해 상기 금속막을 성막하는 금속막 성막 모듈과,
    상기 성막된 금속막에 상기 차광막을 형성하기 위한 소정 패턴의 레지스트를 형성하는 레지스트 패터닝 모듈과,
    상기 레지스트를 이용해서 상기 금속막, 및 상기 광전 변환 소자의 바로 위 근방까지 상기 절연막을 드라이 에칭에 의해 패터닝해서 상기 차광막 및 구멍을 각각 형성하는 패터닝 모듈과,
    상기 레지스트를 제거하는 레지스트 제거 모듈과,
    상기 차광막과 상기 구멍에 의해 규정되는 오목부에 실리콘 질화막을 성막하는 실리콘 질화막 성막 모듈과,
    상기 실리콘 질화막보다 굴절율이 낮은 투명한 절연재를 도포해서 제 1 절연층을 형성함과 아울러 상기 제 1 절연층을 평탄화해서 평탄화막을 형성하는 평탄화막 형성 모듈과,
    상기 평탄화막상에 칼라 필터를 형성하는 칼라 필터 형성 모듈과,
    상기 칼라 필터상에 제 2 절연층을 형성함과 아울러 상기 제 2 절연층을 박막화해서 보호막을 형성하는 보호막 형성 모듈
    을 포함하며,
    상기 평탄화막 형성 모듈 및 상기 보호막 형성 모듈이, 상기 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과, 상기 절연막의 두께를 측정하는 처리전 두께 측정 모듈과, 상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 모듈과, 상기 절연막 노출 처리 조건에 기초해서 상기 제 1 절연층 및 상기 제 2 절연층을 상기 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 상기 제 1 절연층 및 상기 제 2 절연층을 가열하는 절연막 가열 모듈을 각각 포함하는
    프로그램을 기록한 기록 매체.
  16. 고체 촬상 소자의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체로서,
    수광하는 광에 따라 신호 전하를 발생하는 수광부를 기판상에 복수 형성하는 수광부 형성 모듈과,
    상기 수광부가 형성된 기판상에 절연막을 형성하는 절연막 형성 모듈과,
    상기 복수의 수광부에서 얻어진 신호 전하를 전송하는 신호 전하 전송부를 형성하는 신호 전하 전송부 형성 모듈과,
    상기 신호 전하 전송부상에 도전성의 차광막을 형성하는 차광막 형성 모듈과,
    상기 절연막을 사이에 두고 상기 복수의 수광부 상에, 또한 직접 상기 차광막상에 CVD법에 의해 무정형 실리콘계의 박막으로 이루어지는 광투과 전극을 형성하는 광투과 전극 형성 모듈을 포함하며,
    상기 절연막 형성 모듈은 상기 절연막을 형성하기 위해서 상기 수광부가 형성된 기판상에 절연재를 도포하는 절연재 도포 모듈과, 상기 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과, 상기 절연막의 두께를 측정하는 처리전 두께 측정 모듈과, 상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 모듈과, 상기 절연막 노출 처리 조건에 기초해서 상기 도포된 절연재를 상기 혼합 기체의 분위기에 노출하는 절연막 노출 모듈과, 상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연재를 가열하는 절연재 가열 모듈을 포함하는
    프로그램을 기록한 기록 매체.
  17. 기판상에 형성된 동일 형상 패턴을 갖는 복수의 칩과, 적어도 표면에 광학적으로 투명한 절연성의 박막을 구비하는 CCD용의 박막 디바이스의 제조 방법을 컴퓨터에게 실행시키는 프로그램을 기록한 기록 매체로서,
    상기 박막을 형성하기 위해서 절연성의 막을 성막하는 막형성 모듈과,
    상기 기판이 구비하는 절연막의 원하는 막 두께를 결정하는 막 두께 결정 모듈과,
    상기 절연막의 두께를 측정하는 처리전 두께 측정 모듈과,
    상기 측정된 두께와 상기 결정된 막 두께를 비교해서, 암모니아와 불화수소를 포함하는 혼합 기체의 압력 및 상기 암모니아에 대한 상기 불화 수소의 체적 유량비를 포함하는 절연막 노출 처리 조건 및 소정의 온도를 포함하는 절연막 가열 처리 조건을 결정하는 처리 조건 결정 모듈과,
    상기 절연막 노출 처리 조건에 기초해서 상기 절연성의 막을 상기 혼합 기체의 분위기에 노출하는 막노출 모듈과,
    상기 절연막 가열 처리 조건에 기초해서 상기 혼합 기체의 분위기에 노출된 절연성의 막을 가열하는 막가열 모듈과,
    상기 복수의 칩의 각각에 있어서의 미리 설정된 검사 개소에서 상기 가열된 절연성의 막에 대하여 소정의 조건에 관한 검사를 행하는 막검사 모듈과,
    상기 막검사 모듈에 있어서 상기 각 칩에 있어서의 상기 검사 개소에서 상기 절연성의 막이 상기 소정의 조건을 충족하고 있는 경우에 상기 박막 디바이스를 다음 공정으로 이동하기 위해 반송하는 반송 모듈을 포함하는
    프로그램을 기록한 기록 매체.
KR1020060015273A 2005-02-18 2006-02-16 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막디바이스의 제조 방법 및 프로그램을 기록한 기록 매체 KR100924841B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2005042579 2005-02-18
JPJP-P-2005-00042579 2005-02-18
JP2005278842A JP4933763B2 (ja) 2005-02-18 2005-09-26 固体撮像素子の製造方法、薄膜デバイスの製造方法及びプログラム
JPJP-P-2005-00278842 2005-09-26

Publications (2)

Publication Number Publication Date
KR20060022726A KR20060022726A (ko) 2006-03-10
KR100924841B1 true KR100924841B1 (ko) 2009-11-02

Family

ID=37100473

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060015273A KR100924841B1 (ko) 2005-02-18 2006-02-16 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막디바이스의 제조 방법 및 프로그램을 기록한 기록 매체

Country Status (2)

Country Link
JP (1) JP4933763B2 (ko)
KR (1) KR100924841B1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8206605B2 (en) 2006-11-01 2012-06-26 Tokyo Electron Limited Substrate processing method and substrate processing system
JP4849614B2 (ja) * 2006-11-01 2012-01-11 東京エレクトロン株式会社 基板処理方法及び基板処理システム
KR100917814B1 (ko) 2007-11-05 2009-09-18 주식회사 동부하이텍 씨모스 이미지 센서의 제조방법
KR101150268B1 (ko) * 2008-03-27 2012-06-12 도쿄엘렉트론가부시키가이샤 열처리 장치 및 처리 시스템
WO2012063901A1 (ja) * 2010-11-11 2012-05-18 東京エレクトロン株式会社 半導体装置の製造方法および製造装置
JP7038564B2 (ja) * 2018-02-22 2022-03-18 東京エレクトロン株式会社 膜形成方法及び基板処理装置
JP2020136606A (ja) * 2019-02-25 2020-08-31 三菱重工業株式会社 電子デバイス防護装置及び電子装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960010932A (ko) * 1994-09-26 1996-04-20 김준웅 폴리에스테르 가연가공사 직물의 제조방법
US6541351B1 (en) 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes
KR20030040860A (ko) * 2001-11-16 2003-05-23 주식회사 하이닉스반도체 암전류를 감소시키기 위한 이미지센서의 제조 방법

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03196622A (ja) * 1989-12-26 1991-08-28 Nec Corp 反応性イオンエッチング装置
JP3516459B2 (ja) * 1992-10-19 2004-04-05 ソニー株式会社 固体撮像素子の製造方法
JPH06302557A (ja) * 1993-04-15 1994-10-28 Nec Yamaguchi Ltd ドライエッチング装置
JPH06310701A (ja) * 1993-04-23 1994-11-04 Sony Corp 固体撮像素子
JPH0982933A (ja) * 1995-09-12 1997-03-28 Toshiba Corp 固体撮像装置およびその製造方法
JP2001189443A (ja) * 1999-12-28 2001-07-10 Sony Corp 固体撮像素子及びその製造方法
JP2003124448A (ja) * 2001-10-19 2003-04-25 Sony Corp 固体撮像素子における層内レンズの膜厚測定用モニタ領域形成方法
US7029536B2 (en) * 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960010932A (ko) * 1994-09-26 1996-04-20 김준웅 폴리에스테르 가연가공사 직물의 제조방법
KR20030040860A (ko) * 2001-11-16 2003-05-23 주식회사 하이닉스반도체 암전류를 감소시키기 위한 이미지센서의 제조 방법
US6541351B1 (en) 2001-11-20 2003-04-01 International Business Machines Corporation Method for limiting divot formation in post shallow trench isolation processes

Also Published As

Publication number Publication date
KR20060022726A (ko) 2006-03-10
JP2006261633A (ja) 2006-09-28
JP4933763B2 (ja) 2012-05-16

Similar Documents

Publication Publication Date Title
US7622392B2 (en) Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
JP4860219B2 (ja) 基板の処理方法、電子デバイスの製造方法及びプログラム
KR100924841B1 (ko) 기판의 처리 방법, 고체 촬상 소자의 제조 방법, 박막디바이스의 제조 방법 및 프로그램을 기록한 기록 매체
US8241511B2 (en) Substrate processing method
US8293016B2 (en) Apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) Integrated method for removal of halogen residues from etched substrates in a processing system
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US20050221020A1 (en) Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US20080286697A1 (en) Method and apparatus for processing a wafer
US20070093071A1 (en) Method and apparatus for processing a wafer
US20050221619A1 (en) System and method for etching a mask
KR100832164B1 (ko) 기판 표면 처리 방법, 기판 세정 방법 및 프로그램을기록한 기록 매체
US7465673B2 (en) Method and apparatus for bilayer photoresist dry development
JP2006522480A (ja) 多層フォトレジストのドライ現像のための方法及び装置
US7344991B2 (en) Method and apparatus for multilayer photoresist dry development
JP2018107427A (ja) 半導体装置の製造方法、真空処理装置及び基板処理装置
US8048325B2 (en) Method and apparatus for multilayer photoresist dry development
KR100852520B1 (ko) 전자 디바이스의 제조 방법 및 프로그램을 기록한 기록매체
WO2005067024A1 (en) Method and apparatus for etching an organic layer

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20141007

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20151001

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20191016

Year of fee payment: 11