KR100801998B1 - 고해상 구조체를 제조하기 위한 패터닝 방법 - Google Patents

고해상 구조체를 제조하기 위한 패터닝 방법 Download PDF

Info

Publication number
KR100801998B1
KR100801998B1 KR1020060003741A KR20060003741A KR100801998B1 KR 100801998 B1 KR100801998 B1 KR 100801998B1 KR 1020060003741 A KR1020060003741 A KR 1020060003741A KR 20060003741 A KR20060003741 A KR 20060003741A KR 100801998 B1 KR100801998 B1 KR 100801998B1
Authority
KR
South Korea
Prior art keywords
substrate
prepatterned
delete delete
film
patterns
Prior art date
Application number
KR1020060003741A
Other languages
English (en)
Other versions
KR20060094859A (ko
Inventor
šœ푸 리
크리스토퍼 뉴섬
데이비드 러셀
토마스 쿠글러
Original Assignee
세이코 엡슨 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세이코 엡슨 가부시키가이샤 filed Critical 세이코 엡슨 가부시키가이샤
Publication of KR20060094859A publication Critical patent/KR20060094859A/ko
Application granted granted Critical
Publication of KR100801998B1 publication Critical patent/KR100801998B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/468Insulated gate field-effect transistors [IGFETs] characterised by the gate dielectrics
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/80Constructional details
    • H10K10/82Electrodes
    • H10K10/84Ohmic electrodes, e.g. source or drain electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/60Forming conductive regions or layers, e.g. electrodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/10Organic polymers or oligomers
    • H10K85/111Organic polymers or oligomers comprising aromatic, heteroaromatic, or aryl chains, e.g. polyaniline, polyphenylene or polyphenylene vinylene
    • H10K85/113Heteroaromatic compounds comprising sulfur or selene, e.g. polythiophene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/1259Multistep manufacturing methods
    • H01L27/1292Multistep manufacturing methods using liquid deposition, e.g. printing
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K10/00Organic devices specially adapted for rectifying, amplifying, oscillating or switching; Organic capacitors or resistors having potential barriers
    • H10K10/40Organic transistors
    • H10K10/46Field-effect transistors, e.g. organic thin-film transistors [OTFT]
    • H10K10/462Insulated gate field-effect transistors [IGFETs]
    • H10K10/464Lateral top-gate IGFETs comprising only a single gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/10Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00 comprising field-effect transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K19/00Integrated devices, or assemblies of multiple devices, comprising at least one organic element specially adapted for rectifying, amplifying, oscillating or switching, covered by group H10K10/00
    • H10K19/202Integrated devices comprising a common active layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

본 발명은 고해상 패터닝 단계를 사용하지 않고 고해상 구조체를 제조할 수 있는 패터닝 방법을 제공한다. 본 방법은 (ⅰ) 기판(10)에 재료층(12)의 프리패터닝(pre-patterning)하는 단계와, (ⅱ) 상기 프리패터닝된 기판 위에 막 형성 물질의 용액을 스핀 코팅하는 단계와, (ⅲ) 기판의 패터닝되지 않은 영역과 프리패터닝된 재료의 표면과 측면에 막 형성 물질의 막(14)을 형성하기 위해 스핀 코팅된 용액을 건조시키는 단계와, (ⅳ) 프리패터닝된 재료의 측면 주위만 남기는 방식으로 건조된 막을 에칭하는 단계와, (ⅴ) 기판에 막 형성 물질의 융기부분(20)을 남기도록 프리패터닝된 재료를 제거하는 단계를 포함하며, 융기부분의 패턴은 프리패터닝된 재료의 윤곽에 대응한다.
그리고 나서 박막 트랜지스터의 잠재 소스와 드레인 전극을 개별 분산적인 금속 영역을 남기는 융기부분의 제거에 이어서 결과적으로 패터닝된 기판에 금속층이 증착될 수 있다. 그리고 나서 박막 트랜지스터의 어레이는 반도체, 절연체 및 도체의 영역을 선택적으로 증착하여 형성될 수 있고, 후자는 소스와 드레인 전극의 각 쌍과 연관된 게이트 전극을 형성한다.
트랜지스터, 소스, 드레인, 게이트, 막, 패턴

Description

고해상 구조체를 제조하기 위한 패터닝 방법{A PATTERNING METHOD FOR FABRICATING HIGH RESOLUTION STRUCTURES}
도 1a ~ 1f는 본 발명의 제 1 형태에 따른 패터닝 방법의 단계를 개략적으로 나타내는 단면도.
도 2a와 2b는 본 발명의 제 1 형태에 따라 얻어질 수 있는 막 형성 물질의 융기부분의 대체하는 프로파일을 개략적으로 나타내는 단면도.
도 3a와 3b는 박막 트랜지스터의 잠재 소스와 드레인 전극 쌍을 형성하기 위한 단계를 개략적으로 나타내는 단면도.
도 4는 도 3b의 평면도.
도 5는 본 발명의 제 3 형태에 따른 톱-게이트형(top-gate) 박막 트랜지스터를 개략적으로 나타내는 단면도.
* 도면의 주요 부분에 대한 부호의 설명 *
10…기판
12…재료
14…마스크 재료
16…막 형성 물질
20…융기부분
22…금속
24…소스 전극
26…드레인 전극
28…반도체
30…유전체
32…게이트 전극
본 발명은 고해상 구조체를 제조할 수 있는 패터닝 방법에 관한 것이며, 특히 박막 폴리머 트랜지스터의 연장된 2차원 어레이의 제조에 유용한 방법이다.
기판상에 박막 트랜지스터의 연장된 어레이의 제조에 사용될 수 있는 저가, 고해상 패터닝 방법을 제공하기 위한 요구가 있다. 그러한 패터닝은 포토리소그라피 기술을 사용하여 종래에 실행되어 왔다. 이 기술은 매우 고해상 패터닝을 가능케 하는 반면, 통상 포토마스크를 미리 제조된 미세 구조에 정확하게 배열해야하는 단계가 필요하다. 특히, 이러한 배열은 약 0.1㎛의 허용 오차 내에 있어야 하며, 그렇지 않을 경우 각종 구성 요소들이 서로 정확하게 배열되지 않기 때문에 결과적인 전자 장치가 기능을 하지 않게 될 것이다. 이러한 정확한 배열을 달성하기 위한 필요성은 그 결과 전체적으로 제조 공정과 관련하여 상당한 비용을 나타내는 단계를 기술적으로 요구하고 있다. 그러나, 이러한 형태의 개선된 전자 제품의 제조 비용을 줄이려는 끊임없는 압력이 있다.
박막 트랜지스터의 어레이의 제조에 있어서, 가장 요구되는 단계 중 하나는 각 개별적인 트랜지스터의 소스와 드레인 전극이 세밀하지만 일정한 분리를 갖는 것을 확보하는 것이다. 이상적으로, 이러한 분리는 10㎛ 미만으로 해야하는 것이 바람직하고, 박막 트랜지스터가 바람직한 특성을 갖는 것을 확보하기 위해서, 이상적으로 약 0.1 ~ 1.0㎛으로 해야하는 것이 바람직하다. 이러한 일정한 분리를 달성하기 위해서 종래에는 상대적으로 고해상 제조 기술을 필요로 했다. 본 발명은 프리패터닝된 저해상 구조에 적용된 소위 "에지(edge) 효과"를 사용하여 소스와 드레인 전극을 제조하는 대체적인 기술을 제공하려는 것이다.
고해상 구조체를 제조하는데 에지 효과의 사용이 알려져 있다. 이들 공지된 제조 방법은 근접장(近接場, near-field) 광학적 리소그라피와, 패터닝된 박막의 에지에 자기조립 단층(self-assembled monolayer)의 성장, 측벽 증착과 습식 에칭 유도 언더컷(undercut) 등과 같은 기술을 포함한다. 그러나, 이들 기술들은 모두 산업 생산에 수행하기에는 상대적으로 복잡하고 고가이다.
따라서, 본 발명은 미리 패터닝된 저해상 구조에 적용되는 스핀 코팅 유도 에지 효과 수단에 의해 고해상 구조체를 제조할 수 있는 패터닝 방법을 제공함으로써 상술한 문제점을 해결하는 것을 목적으로 한다. 특히, 본 발명은 종래의 고해상 제조 단계를 사용할 필요없이 고해상 구조체가 형성될 수 있는 기판에 박막 트랜지스터의 연장된 2차원 어레이를 제조하는데 사용될 수 있는 패터닝 방법을 제공하는 것을 목적으로 한다.
제 1 형태에 따르면, 본 발명은
(ⅰ) 기판에 재료층을 프리패터닝하는 단계와,
(ⅱ) 프리패터닝된 기판 위에 막 형성 물질의 용액을 스핀 코팅하는 단계와,
(ⅲ) 기판의 패터닝되지 않은 영역과 프리패터닝된 재료의 표면과 측면에 막 형성 물질의 막을 형성하기 위해 스핀 코팅된 용액을 건조시는 단계와,
(ⅳ) 프리패터닝된 재료의 측면 주위만 남기는 방식으로 건조된 막을 에칭하는 단계와,
(ⅴ) 기판에 막 형성 물질의 융기부분을 남기도록 프리패터닝된 재료를 제거하는 단계를 포함하며, 상기 융기부분의 패턴은 프리패터닝된 재료의 윤곽에 대응한다.
본 발명의 제 1 형태에 의해 제공된 상기 패터닝 방법은 상대적으로 저해상의 프리패터닝된 구조에만 의거하여 고해상 구조체(막 형성 물질의 융기부분)의 제조를 가능하게 한다. 이러한 방법은 또한 저가에서 복잡한 전자 구조와 장치의 제조를 가능하게 한다.
패터닝 방법에 의해 형성된 막 형성 물질의 각 융기부분은 거의 균일한 폭을 갖고, 이 융기부분은 기판의 표면으로부터 수직으로 기립되거나 경사져서 연장된다. 일반적으로 막 형성 물질의 각 융기 부분의 폭은 0.1 - 25㎛이고, 바람직하게는 0.10 - 10㎛이고, 더욱 바람직하게는 0.20 - 5㎛이다. 이는 기판상의 본 발명의 패터닝 방법이 최종적으로 제공하려는 추후에 제조된 고해상 구조체에 대응하는 융기부분의 폭과 이들 패턴이다.
상기 패터닝 방법의 단계 (ⅰ)에서의 프리패터닝은 포토리소그라피 또는 마스크 에칭에 의해 바람직하게 실행된다. 이들 기술 모두에 따르면, 적합한 레지스트 재료의 레이어(layer)가 예를 들어 잉크젯 프린팅 또는 스핀 코팅에 의해 기판에 피복된다. 그리고 나서 이 재료는 공지의 방법으로 패터닝된다. 이러한 패터닝 단계는 이 단계가 저해상 제조를 실행할 수 있도록 어떠한 미리 제조된 구조로 배열될 필요가 없다. 결과적인 "프리패턴"(pre-pattern)의 윤곽은 본 발명에 의해 제공된 패터닝 방법에 의해 형성되는 막 형성 물질의 융기부분의 패턴에 대응한다.
프리패터닝 단계 이후에, 막 형성 물질의 용액은 프리패터닝된 기판 위에 스핀 코팅된다. 이 단계를 실행하기 위해서, 막 형성 물질을 바람직하게는 0.1 - 10g/l의 농도로 적합한 용액(또는 분산제)에 먼저 용해(또는 분산)시킨다. 결과적인 용액은 바람직하게는 500 - 5,000 r.p.m으로, 이 후에 빠르게 회전되는 (정지된)프리패터닝된 기판에 증착된다. 이는 기판의 패터닝되지 않은 영역과 프리패터닝된 재료의 표면과 측면에 막 형성 물질의 막을 형성한다. 후술하는 바와 같이, 막 형성 물질의 막은 프리패터닝된 기판의 프로파일과 밀접히 따른다. 이는 스핀 코팅 기술의 직접적인 결과이다. 막은 그 후에 예를 들어 바람직하게는 50 - 100℃에서 2 - 10분 동안 베이킹(baking)에 의해 건조된다.
건조된 막은 그 후에 프리패터닝된 재료의 측면 주위만 남기는 방식으로 에칭된다. 이는 기판 바로 위로부터 플라스마로 막을 에칭함으로써 얻어진다. 이것 은 실질적으로 프리패터닝된 재료의 영역 사이에서의 기판의 패터닝되지 않은 표면으로부터 뿐만 아니라 프리패터닝된 재료의 상면으로부터 건조된 막 형성 물질을 제거한다. 그러나 에칭은 기판 표면으로부터 수직으로 측정하였을 때 막이 가장 두꺼운 곳인 프리패터닝된 재료의 가장자리 주위에 막 형성 물질이 남도록 제어된다.
프리패터닝된 재료는 그 후에 기판의 막 형성 물질의 융기부분 뒷면과, 프리패터닝된 재료의 윤곽에 대응하는 융기부분의 패턴을 남기고 제거된다. 이러한 제거는 예를 들어 프리패터닝된 재료를 건조된 막 형성 물질이 반응하지 않는 적합한 용매에 노출함으로써 실행된다. 그러므로 이러한 제거 단계는 막 형성 물질의 융기부분이 그들의 베이스에서 기판에 부착되어 남아있게 하는 것을 방해하지 않는다.
상기 패터닝 방법은 본 발명의 제 2 형태에 사용되어 박막 트랜지스터의 잠재 소스와 드레인 전극을 형성할 수 있다. 상술한 바와 같이, 기판에 소망의 패턴으로 막 형성 물질의 융기부분을 형성한 후, 금속층은 그 후에 패터닝된 기판에 증착된다. 막 형성 물질의 융기부분은 그 후에 박막 트랜지스터의 잠재 소스와 드레인 전극을 형성하는 개별 금속 영역을 남기고 제거된다.
상기 문맥과 그 밖의 본 발명에서, "잠재 소스와 드레인 전극" 용어는 박막 트랜지스터의 소스와 드레인 전극으로서 사용될 수 있는 인접한 개별 금속 영역 근방을 의미한다.
바람직하게는 막 형성 물질의 융기부분의 패턴은 잠재 소스와 드레인 전극의 연장된 2차원 어레이가 상기 패터닝 방법에 의해 형성된 것이다. 그러한 어레이는 상대적으로 큰 영역의 디스플레이 장치를 제조하는데 매개체로서 유용하다.
본 발명의 제 3 형태에 따르면, 박막 트랜지스터의 어레이를 형성하는 방법은
(ⅰ) 우선 상술한 바와 같이 상기 본 발명의 제 2 형태와 관련하여 기판에 잠재 소스와 드레인 전극의 2차원 어레이를 형성하는 단계와,
(ⅱ) 반도체, 절연체 및 도체의 2차원 어레이 영역에 선택적으로 증착하고 후자는 소스와 드레인 전극의 각 쌍과 관련된 게이트 전극을 형성하는 단계를 포함한다.
상술한 반도체는 유기 또는 무기 반도체 재료일 수 있다. 유기 반도체 재료의 사용은 일반적으로 유기 재료가 용액 처리가능하므로 이점이 있다. 그러나, 예를 들어, 실리콘의 콜로이드 현탁액을 형성하거나 또는 유기 반도체 재료를 무기 반도체 재료로 전환함으로써, 무기 반도체 재료를 용액 처리가능하게 하는 방법도 있다.
상기 방법은 복수의 박막 트랜지스터가 약 1㎡ 등과 같이 적어도 0.001㎡, 바람직하게는 적어도 0.01㎡ 더욱 바람직하게는 적어도 0.1㎡의 영역을 갖는 2차원 어레이의 형태로 제조되는데 사용되는 것이 특히 바람직하다. 트랜지스터는 하나의 어레이에 적어도 1,000 개/㎠의 밀도로 패킹 될 수 있다. 이 경우에, 큰 영역 디스플레이 장치는 어레이에서의 각 트랜지스터를 유기 발광 다이오드 등과 같은 발광 셀에 결합함으로써 제조될 수 있다.
본 발명은 구체적인 실시예와, 예 및 첨부한 도면을 참조하여 더욱 상세하게 설명한다.
도 1a 내지 1f에서, 이들은 기판에 막 형성 물질의 융기부분의 고해상 패턴을 형성하는 패터닝 방법의 본 발명의 제 1 형태의 단면도로 단계들을 개략적으로 나타낸다. 먼저 도 1a에 나타낸 바와 같이, 이는 실리콘 웨이퍼, 유리 또는 플라스틱 등과 같은 기판(10)의 단면도를 개략적으로 나타낸다. 다음의 예는 폴리에틸렌나프탈레이트(PEN)와 폴리에틸렌테레프탈레이트(PET)를 포함한다. 일반적으로 기판은 1㎛ - 10㎜ 범위의 두께를 갖고, 더욱 바람직하게는 10㎛ - 1㎜의 두께를 갖는다. 기판은 레지스트 등과 같은 패터닝가능한 재료(12)의 박막으로 피복된다. 패터닝가능한 재료는 잉크젯 프린팅, 스핀 코팅, 딥 코팅, 닥터 블레이딩 또는 스프레이 코팅에 의해 기판에 피복될 수 있다. 패터닝가능한 재료의 층은 0.25 - 10㎛ 두께일 수 있고, 바람직하게는 1 - 5㎛ 두께일 수 있다. 재료(12)의 구체적인 예는 폴리메틸메트아크릴레이트 등과 같은 에칭가능한 플라스틱과 노볼락(novolak) 수지와 광능동반응 화합물으로서 나프토퀴논다이아자이드를 포함하는 AZ-5214E 등과 같은 포토레지스트가 있다. AZ-5214E는 일반적인 3,000r.p.m의 회전 속도에서 기판(10) 위로 스핀 코팅될 수 있는 메톡시프로필아세테이트 등과 같은 용매에 용해될 수 있다. 필요할 경우, 패터닝가능한 재료는 그 후에 예를 들어 오븐에서 베이킹함으로써 건조된다.
패터닝가능한 재료(12)는 그 후에 도 1b와 1c에 개략적으로 나타낸 마스크 에칭 등에 의해 패터닝된다. 이는 패터닝가능한 재료(12)의 표면을 예를 들어 구리로부터 형성된 적합하게 패터닝된 마스크로 피복함으로써 행해질 수 있다. 특히 마스크에 대한 적합한 패턴은 1㎜로 차례로 분리된 1㎜ 폭의 평행한 개구를 갖는 줄무늬 패턴일 수 있다. 예를 들어 금속 또는 게르마늄 등과 같은 반금속(半金屬, semi-metal)인 적합한 금속은 그 후에 마스크를 통하여 증착되어 패터닝가능한 재료(12)의 상부에 마스크 재료(14)의 줄무늬를 형성한다. 마스크 재료의 줄무늬의 두께는 상대적으로 작을 수 있고, 예를 들어 10㎚일 수 있다. 이들 마스크 재료의 줄무늬는 어떤 형태의 에칭에 대해 저항력이 있다.
도 1b에 나타낸 마스크된 패터닝가능한 재료는 마스크 재료(14)에 의해 피복되지 않은 패터닝가능한 재료(12)의 영역을 제거하는 에칭을 거친다. 적합한 에칭 조건은 예를 들어 200 ml/분의 속도와 200 Watt의 전력에서 산소 플라스마를 흘리는 것이다. 마스크 재료의 줄무늬는 이러한 에칭 조건에 저항력이 있고 그들에 의해 방해받지 않으며, 또한 하지 패터닝가능한 재료(12)가 되지 않는다. 결과적인 프리패터닝된 구조는 도 1c에서의 단면도로 개략적으로 나타내어진다. 그러므로 결과적인 구조는 각 줄무늬가 게르마늄 등과 같은 마스크 재료의 박막으로 피복되는 패터닝된 재료(12)의 줄무늬를 지지하는 기판(10)을 포함한다.
마스크 에칭에 대체로서, 패터닝가능한 재료(12)는 공지의 광학적인 리소그라피, 홀로그라피, 마이크로-엠보싱(embossing) 또는 포토리소그라피에 의해 프리패터닝될 수 있다. 예를 들어, AZ-5214E 등과 같은 적합한 포토레지스트의 스핀 코팅 후에, 이것은 예를 들어 베이킹에 의해 건조된다. 적합한 조건은 예를 들어 110℃ 4분간이다. 이러한 베이킹 단계는 감광성 레지스트를 만든다. 포토레지스트는 그 후에 적합한 줄무늬로 된 마스크를 통하여 자외선에 노광된다. 이러한 노광에 대한 적합한 조건은 자외선이 18 ㎽/㎠의 강도와 365㎚ 파장을 갖는 것이고, 노광은 약 10초간 실행된다. 자외선은 포토레지스트 폴리머가 노광된 영역에서 단쇄(短鎖, short chain) 내로 달라붙게 한다. 다음 단계로서, 포토레지스트의 노광된 영역은 수산화칼륨, 수산화나트륨과 알킬아릴설폰산 나트륨 소금을 포함하는 알칼리 수용액인 AZ 현상제를 사용하여 제거된다. 노광된 영역이 제거된 후, 결과적인 프리패터닝된 구조는 그 후에 예를 들어 115℃ 15분간 베이킹에 의해 건조된다. 이러한 프리패터닝의 결과적인 구조는 패터닝된 재료(12)의 상면에 얇은 마스크 층(14)이 없는 것을 제외하고는 도 1c에 나타낸 것과 유사하다.
기판에 재료의 프리패터닝된 층은, 대체하는 것으로, 잉크젯 프린팅, 패드 프린팅, 스크린 프린팅 또는 오프셋 프린팅에 의해 생성될 수 있다.
패터닝 방법의 다음 단계는 도 1c에 나타낸 프리패터닝된 기판 위에 막 형성 물질의 용액이나 현탁액을 스핀 코팅하는 것이다. 스핀 코팅은 반도체 제조의 기술 분야에서 잘 알려진 기술이다. 이것은 기판을 덮기 위해 적당량의 용액을 놓는 단계와 그 후에 기판을 급속으로 회전시키는 단계를 포함한다. 대부분의 용액은 기판으로부터 떨어져 나간다. 한편으로, 용액의 얇고 비교적 균일한 층이 용액의 농도에 따라 일반적으로 10㎚ - 20㎛ 두께로 남게 된다. 본 발명의 본 단계에서, 균일한 스핀 코팅된 막을 형성할 수 있고 플라스마에 의해 에칭될 수 있는 것이라면 임의의 종류의 막 형성 물질이 선택될 수 있다. 적합한 재료는 폴리스티렌 또는 폴리티오펜 등과 같은 폴리머를 포함한다. 이들 폴리머는 톨루엔 등과 같은 많 은 공통 유기 용매에 용해되어 일반적으로 0.1 - 10 g/l, 보다 바람직하게는 0.5 - 5 g/l의 농도를 갖는 용액을 형성할 수 있다. 이는 톨루엔이 일반적으로 AZ-5214E 또는 폴리메틸메트아크릴레이트 등과 같은 프리패터닝된 재료(12)를 용해하지 않기 때문에 더욱 이점이 있다. 또는, 스핀 코팅된 용액은 적합한 분산제에서의 막 형성 유기 또는 무기 재료의 콜로이드 현탁액의 형태로 될 수 있다.
결과적인 스핀 코팅된 기판의 단면 구조는 도 1d에 개략적으로 나타나 있다. 막 형성 물질(도 1d에서는 과장된 두께임)의 막(16)은 도 1c에 나타낸 프리패터닝된 재료의 외곽에 근접하여 따르고 있음에 주의한다. 스핀 코팅된 층의 두께는 일반적으로 10㎚ - 2㎛이다. 막(16)의 두께는 용액(또는 분산제)의 막 형성 물질의 농도와 스핀 속도를 변화시킴으로써 제어될 수 있다. 막 형성 물질을 스핀 코팅하는데 사용되는 일반적인 스핀 속도는 500 - 5,000 r.p.m이다. 스핀 코팅 이후, 막(16)은 용매를 제거하기 위해, 물론 정확한 조건은 막 형성 물질와 사용된 용매에 따라 다르지만, 예를 들어 60℃에서 5분간 통상 베이킹하여 건조된다.
패터닝 방법의 다음 단계는 프리패터닝된 재료(12)의 측면 주위만 남기는 방식으로 막 형성 물질로부터 형성된 균일한 막을 에칭하여 없애는 것이다. 즉, 막은 기판(10)의 상면과 프리패터닝된 재료(12)의 상면으로부터 거의 제거된다. 이는 도 1d에 나타낸 구조를 100 Watt 전력에서 200 ml/분의 가스 유속으로 예를 들어 산소를 사용한 상태에서 플라스마 에칭하여 될 수 있다. 적합한 에칭 시간은 막(16)의 두께에 따라 다르지만 일반적으로 1 - 10분이 소요된다. 결과적인 에칭된 구조는 도 1e에 나타나 있다. 에칭 공정이 프리패터닝된 재료(12)의 상부와 기 판의 표면으로부터 막박을 제거하기 때문에, 막(16)이 패터닝된 재료(12)의 측면 주위에만 남아있는 것에 주의한다. 그러나, 플라스마 에칭이 바로 수직으로 아래를 향하기 때문에, 기판의 표면과 프리패터닝된 재료의 상면으로부터 균일한 막을 에칭하여 제거하는데 걸리는 시간에서 프리패터닝된 재료(12)의 영역을 둘러싸는 막 형성 물질의 비교적 두꺼운 층을 관통하여 에칭되지 않는다.
플라스마 에칭의 대체 방법으로서, 막은 레이저 박리(laser ablation) 등과 같은 다른 에칭 기술에 의해 제거될 수도 있다. 에칭 단계에서 중요한 것은 막 형성 물질의 막을 기판과 프리패터닝된 재료에 균일한 두께의 막이 형성되는 곳에서 제거되고, 도 1e에 나타낸 바와 같이 프리패터닝된 재료의 측면에 대향하여 인접한 곳은 남는다는 것을 이해할 것이다.
결과적으로, 프리패터닝된 재료(12)는 케톤, 예를 들어 아세톤 또는 에테르 등과 같은 적합한 유기 용매로 예를 들어 용해 또는 완화하여 제거된다. 실제적으로 재료(14)의 박막(예를 들어, 10㎚ 두께)이 프리패터닝된 재료의 상면에 남는 경우, 용매는 그 안의 균열을 통하여 관통할 수 있고 하지 패터닝된 재료(12)를 용해시켜 버릴 수 있다는 것을 발견하였다. 그러나 마스크 재료(14)의 층이 두꺼울 경우, 용매가 프리패터닝된 재료에 접근하도록 하여 에칭되도록 할 수 있다. 그러한 에칭은 150 ml/분의 가스 유속과 150 Watt의 전력에서 CF4 플라스마를 이용하여 실행될 수 있다. 이들 조건에서, 일반적 에칭 속도는 약 25 ㎚/분이다. CF4 플라스마가 막 형성 물질(16)를 에칭하지 않기 때문에, 그러한 처리는 패터닝된 재료의 가장자리 주위에 건조된 막에 영향을 미치지 않는다는 것에 유념해야 한다.
결과적인 패터닝된 재료는 도 1f에 도시되어 있다. 그러므로 이는 상방으로 연장된 막 형성 물질로부터 융기부분(20)이 형성된 기판(10)을 포함하고, 융기부분의 패턴은 도 1c에 나타낸 프리패터닝된 에칭된 재료의 윤곽에 대응한다. 도 1f에 나타낸 실시예에서, 각 융기부분은 일반적으로 균일한 폭을 갖는다. 융기부분은 일반적으로 기판의 표면으로부터 수직 상방으로 연장된다.
융기부분의 대체 배열이 도 2에 개략적으로 나타나 있다. 도 2a는 도 1c에 나타낸 에칭된 마스크 패터닝가능한 재료에 대응하지만 에칭 단계의 결과로 약간 경사진 측면을 갖는 프리패터닝된 재료(12)로 된다. 그러한 프로파일은 실제로 레지스트의 현상 또는 에칭 다음에 주로 얻어진다. 이는 주로 광이 레지스트 재료를 통하여 관통할 때 이 광을 감쇠시키는 광능동반응 화합물의 흡수 때문이다. 이는 때로 벌크(bulk) 효과라고 한다. 결과는 기판에 인접한 층의 하부에 비해 레지스트층의 상부에서 더 높은 용해 속도를 나타내었다. 이는 또한 정확히 수직은 아니지만 기판의 표면에 대해 경사지는 융기부분을 최종적으로 생성하는 것으로 나타낸다. 그러한 융기부분은 도 2b에 참조번호 21로 나타낸다. 도 2a와 2b와 비교하여 보면, 경사진 융기부분(21)은 프리패터닝된 재료(12)의 윤곽을 유지하는 것을 알 수 있다. 도 2b에 나타낸 바와 같이, 각 경사진 융기부분은 일반적으로 균일한 폭을 갖는다.
상술한 패터닝 방법은 비교적 저해상을 가질 수 있는 프리패터닝된 구조에만 의거하여 기판에 막 형성 물질의 융기부분인 고해상 구조체의 제조가 가능하기 때문에 이점이 있다. 그러므로 융기부분의 폭은 예를 들어 프리패터닝된 기판에 스핀 코팅된 용액에서 막 형성 물질의 농도를 변화 및/또는 스핀 코팅 단계에 사용된 회전 속도를 변화시킴으로써 0.1 - 10㎛ 범위가 되도록 제어될 수 있다.
본 패터닝 방법에 따라 형성된 융기부분은 또한 균일하게 고해상을 갖는 새로운 구조를 생성하는데 사용될 수 있다. 이는 박막 트랜지스터 등과 같은 고해상 구성요소의 전자 장치를 생산하는데 간편성과, 신속성 및 비교적 저가의 기술을 제공한다. 이점을 도 3a 및 3b에 나타내었다. 그러므로 도 3a는 도 1f에 나타낸 융기된 기판 위로 도체층을 증착하는 단계를 나타낸다. 이는 예를 들어 도 1b에 나타낸 마스크 재료의 패턴을 제조하는데 사용되었던 동일한 줄무늬 마스크를 사용하여 행해질 수 있다. 마스크의 라인 패턴은 기판(10)에 평행한 줄무늬 융기부분 구조(20)에 직교하도록 향한다. 먼저 약 5㎚의 두께를 갖는 크롬 줄무늬를 형성하기 위하여 마스크를 통하여 얇은 크롬층을 증착하고 바로 이어서 약 15㎚의 두께를 갖는 도전성 줄무늬를 형성하기 위하여 금을 증착한다. 크롬의 기능은 금과 기판(10) 사이에 접착력을 향상시키기 위한 것이다. 이러한 금속 증착은 융기부분 자체의 상부에 부수적인 금속 증착 뿐만 아니라 융기부분 사이의 금속(22) 영역을 증착한다.
이어지는 단계에서는, 융기부분을 형성하는 막 형성 물질을 톨루엔 등과 같은 적합한 용매에 의해 금속 증착으로 융기된 기판을 처리하여 제거한다. 이러한 처리는 약 60℃의 높여진 온도에서 초음파 욕조(bath)에서 실행될 수 있다. 이는 증착된 금속(크롬을 덮어씌우는 금)으로부터 형성된 개별 도전성 영역(22)을 남기 는 기판으로부터 융기부분을 제거한다. 또한, 융기부분이 도 2b에 나타낸 바와 같이 경사진 경우, 용매가 융기부분과 기판 사이의 접합 부분에 바로 접근하기 때문에 본 단계에서 이들을 제거하는 것이 더욱 쉬워질 수 있다. 이는 증착된 금속과, 기판과 접촉하는 융기부분의 측면 사이의 갭으로 되는 경사진 융기부분의 돌출부 아래에 금속이 증착되지 않기 때문이다. 이 경우, 용매는 경사진 융기부분과 기판 사이의 접착 지점을 바로 완화시킬 수 있다.
도전성 영역의 결과적인 패턴의 평면도가 도 4에 개략적으로 나타나 있다. 그러므로 도 4는 잠재 소스와 드레인 전극의 연장된 2차원 어레이를 나타낸다. 도 3b는 일반적으로 도 4의 B-B선을 따른 단면을 나타낸다. 더욱 상세하게는, 참조번호 24와 26 등과 같은 인접한 쌍의 금속 영역은 막 형성 물질의 융기부분(여기서는 제거되었음)의 두께에 의해 분리된다. 이러한 분리는 0.1 - 10㎛ 사이가 바람직하고, 더욱 바람직하게는 0.1 - 5㎛이다. 인접한 쌍의 영역은 이러한 목적을 위해 적합한 크기와 공간적인 관계를 갖는 한 박막 트랜지스터의 잠재 소스와 드레인 전극을 구성한다. 기본적으로, 도 4에 나타낸 2차원 어레이는 임의의 원하는 영역을 갖도록 형성될 수 있다. 박막 트랜지스터의 어레이를 형성하기 위하여 이러한 잠재 소스와 드레인 전극의 어레이는 반도체, 절연체 및 도체를 어레이 영역에 선택적으로 증착함으로써 박막 트랜지스터의 어레이 내에 형성될 수 있고, 상기 도체는 소스와 드레인 전극의 각 쌍과 관련된 게이트 전극을 형성한다.
본 발명의 제 1 형태에 따른 패터닝 방법의 변형으로, 융기된 패턴이 형성된 기판은 레지스트층으로 피복되고, 다시 금속 또는 예를 들어 게르마늄인 반금속 등과 같은 마스크 재료의 박막으로 피복된 실리콘 웨이퍼, 유리 또는 플라스틱으로부터 형성된 상술한 바와 같은 기판을 포함하는 다층 구조이다. 이 경우, 패터닝 방법은 융기부분 패턴이 마스크 재료에 형성되도록 "기판"으로서 이러한 다층 구조에 실행된다. 결과적인 융기된 구조는 그 후에 첫 번째로 마스크 재료를 제거하기 위해, 그 후에 두 번째로 융기된 패턴에 의해 피복되지 않은 레지스트를 제거하기 위해 플라스마 에칭을 실시한다. 제 1 에칭 단계는 CF4 플라스마를 사용하고 제 2 에칭 단계는 산소 플라스마를 사용하여 실행될 수 있다.
결과적인 구조는 얇은 마스크 재료 층에 지지되고 다시 에칭되지 않은 하지 레지스트에 의해 지지된 본 발명의 제 1 형태에 따라 형성된 각 융기부분을 포함하는 비교적 높은 융기부분의 패턴이 있는 기판을 포함한다. 높은 융기부분 패턴을 형성하는 것은 잠재 소스와 드레인 전극 쌍이 도 3a와 3b와 상술한 바와 같은 일반적으로 동일한 라인을 따라 형성될 수 있는 몇 마이크론 깊이까지 상당히 두꺼운 도체 층을 증착하는 것을 가능하게 한다.
도 4에 나타낸 소스와 드레인 전극(24, 26) 쌍으로부터 제조될 수 있는 일반적인 박막 트랜지스터를 도 5에 나타내었다. 그러한 트랜지스터는 기판(10)과 소스 및 드레인 전극(24, 26)을 포함한다. 반도체(28) 층은 소스와 드레인 전극 사이에 교량역할을 한다. 반도체는 무기 반도체가 될 수 있지만 더욱 바람직하게는 보다 용이하게 처리가능한 용액인 유기 반도체일 수 있다. 적합한 유기 반도체의 예로는 3-헥실디오펜(P3HT) 또는 폴리알킬아민(PAA)를 포함한다. 반도체 층은 일 반적으로 10 - 300㎚ 두께이고, 바람직하게는 20 - 150㎚이다. 반도체는 폴리아미드, 폴리메틸메트아크릴레이트, 폴리(4-메틸-1-펜틴) 또는 폴리(4-비닐페닐) 등과 같은 재료로부터 형성될 수 있는 유전체(30) 층으로 피복된다. 절연층은 일반적으로 30㎚ - 2㎛의 두께를 갖고, 더욱 바람직하게는 50㎚ - 0.75㎛의 두께를 갖는다. 반도체와 유전체는 예를 들어 잉크젯 프린팅 또는 패드 프린팅에 의해 증착될 수 있다. 마지막으로 게이트 전극(32)은 소스와 드레인 전극과의 적당한 공간적 관계로 유전체층(30) 상에 증착된다. 게이트 전극은 일반적으로 금속의 열 증착 또는 폴리머 용액 또는 적합한 분산제에 콜로이드 입자를 함유하는 현탁액의 잉크젯 프린팅에 의해 형성된다. 게이트 전극의 두께는 10 - 1,000㎚가 바람직하다. 게이트 전극은 크롬, 알루미늄, 금, 은, 구리, 니켈, 또는 이들의 임의의 합성물 등과 같은 금속으로부터 형성될 수 있다. 도 5에서의 박막 트랜지스터와 관련하여, 소스와 드레인 전극(24, 26) 사이의 분리는 본 발명의 제 1 형태에 따른 패터닝 방법에 대응하여 형성된 막 형성 물질의 융기부분의 두께에 해당한다.
도 4에 나타낸 2차원 어레이는 박막 트랜지스터의 연장된 2차원 어레이를 생기게 할 수 있는 것으로 인식될 것이다. 그러한 어레이는 적어도 0.001㎡의 영역을 갖는 것이 바람직하고, 더욱 바람직하게는 약 1㎡이다. 트랜지스터는 적어도 1,000 개/㎠의 밀도로 어레이에 패킹될 수 있다. 이 경우, 큰 영역 디스플레이 장치는 어레이 내의 각 트랜지스터를 유기 발광 다이오드 등과 같은 발광 셀에 결합시킴으로써 제조될 수 있다.
본 발명의 제 1 형태에 따라 제조된 융기 패턴은 또한 다른 분야의 기술에서 사용될 수 있다. 예를 들어, DNA 분리에 사용되는 서브-미크론 채널 제조에 사용될 수도 있다.
이제 본 발명은 다음의 예를 참조하여 한층 더 설명된다.
(예)
본 발명의 패터닝 방법은 기판에 톱-게이트형 박막 트랜지스터의 어레이를 제조하는데 사용되었다.
먼저, 1.4㎛ 두께의 AZ-5214E 포토레지스트 층이 3,000 r.p.m의 회전 속도로 1.5㎜ 두께의 유리 기판에 스핀 코팅되었다. 포토레지스트는 메톡시-프로필 아세테이트의 용액으로서 제공되었다. 포토레지스트는 그 후에 용매를 제거하기 위해여 4분간 110℃에서 베이킹 되었다.
포토레지스트는 18㎽/㎠의 전력에서 10초간 자외선 광에 노광하여 패터닝되었다. 노광은 슬롯이 1㎜ 폭으로 서로 1㎜ 분리된 가늘고 긴 홈이 있는 줄무늬 패턴을 갖는 마스크를 통하여 실행되었다. 포토레지스트의 노광된 영역은 그 후에 1분간 현상제로 화상화된 구조를 처리하여 제거되었다. 사용된 현상제는 체적으로 50%의 AZ 현상제와 체적으로 50%인 물로 구성되었다. 공지된 바와 같이, AZ 현상제는 수산화칼륨, 수산화나트륨 및 알킬아릴설폰산 나트륨 소금을 포함한다.
에칭된 프리패터닝된 구조는 그 후에 15분간 115℃에서 베이킹 되었다. 톨루엔에 1 g/l의 폴리스티렌을 구성하는 용액은 그 후에 프리패터닝된 기판에 스핀 코팅되었다. 사용된 회전 속도는 3,000 r.p.m이었다. 결과적인 막은 5분간 60℃에서 베이킹 되어, 프리패터닝된 기판의 전면(全面)에 걸쳐 100㎚의 두께를 갖는 폴리스티렌 막을 형성한다.
스핀 코팅되고, 프리패터닝된 기판은 그 후에 200 ml/분의 가스 유속과 2분간 100 Watt의 전력에서 산소 플라스마 에칭을 거쳤다. 이는 폴리스티렌 층을 프리패터닝된 재료의 상면과 프리패터닝된 재료의 측면 주위를 제외한 프리패터닝된 재료 사이에 기판으로부터 제거하였다. 남아있는 프리패터닝된 재료는 그 후에 기판으로부터 위로 연장하는 폴리스티렌의 0.5㎛ 폭의 융기부분을 남긴 채로 아세톤에 용해시킴으로써 제거되었다.
도전성 줄무늬는 그 후에 미리 형성된 융기부분에 대해 직교하도록 줄무늬가 향하는 것을 제외하고 상술한 바와 같이 동일한 줄무늬 마스크를 사용하는 융기된 기판 위로 증착되었다. 줄무늬는 15㎚ 막의 금을 지지하는 5㎚ 막의 크롬으로 구성되었다. 크롬 층은 금이 기판에 접착되는 것을 촉진하기 위해 제공된다. 금속 영역의 증착 이후에, 폴리스티렌 융기부분은 그 후 초음파 욕조에서 60℃에서 톨루엔을 사용하여 제거되었다. 이는 도 4에 나타낸 바와 같이 형성된 금속 영역의 연장 어레이로 된다. 인접한 금속 영역은 폴리스티렌 융기부분의 폭, 즉, 0.5㎛만큼 분리된다. 100㎚ 두께의 폴리아릴라민을 갖는 반도체 층은 그 후에 스핀 코팅되고 그 후에 30분간 80℃에서 베이킹 되었다. 다음으로, 폴리메틸메트아크릴레이트로부터 형성된 700㎚ 두께의 유전체 층은 그 후에 스핀 코팅되고 다시 30분간 80℃에서 베이킹 되었다. 최종적으로, 폴리(3, 4-에틸렌다이옥시디오펜)-폴리스티렌설포닉 산으로부터 형성된 게이트 전극은 그 후에 도 5에 나타낸 형태의 박막 트랜지스터를 형성하기 위해 소스와 드레인 전극 사이의 갭 위에 일치시켜 유전체 층에 잉 크젯 프린팅 되었다.
본 발명에 따르면, 종래의 고해상 제조 단계를 사용할 필요없이 고해상 구조체가 형성될 수 있는 기판에 박막 트랜지스터의 연장된 2차원 어레이를 제조하는데 사용될 수 있는 패터닝 방법을 제공할 수 있다.

Claims (24)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 포토레지스트 재료 및 제 1 용매를 포함하는 제 1 액체 재료를 기판에 도포하여 상기 포토레지스트 재료를 포함하는 복수의 패턴의 각각을 형성하는 단계와,
    폴리스티렌 및 제 2 용매를 포함하는 제 2 액체 재료를 스핀 코팅법으로 상기 복수의 패턴에 도포하여 상기 복수의 패턴을 덮는 막을 형성하는 단계 - 여기서, 상기 제 2 액체 재료에서의 폴리스티렌의 농도는 0.1 ~ 10g/l이고, 상기 스핀코팅법은 500 ~ 5000rpm에서 수행됨 - 와,
    상기 복수의 패턴의 측면에 부착한 복수의 융기부분(ridge)이 남도록 상기 복수의 패턴의 각각의 상부에 형성된 상기 막의 일부를 플라스마 에칭법에 의해 제거하는 단계와,
    상기 폴리스티렌에 반응하지 않는 제 3 용매를 용해시킴에 의해 상기 복수의 패턴의 각각을 제거하는 단계와,
    상기 복수의 융기부분 중 하나가 인접한 쌍의 금속영역 사이에 배치되도록 상기 제 1 및 제 2 금속막을 형성하는 단계와,
    상기 인접한 쌍의 금속영역 사이의 거리가 0.1 ~ 10㎛인 공간을 형성하도록 상기 복수의 융기부분을 제거하는 단계와,
    상기 인접한 쌍의 금속영역 위에 반도체층을 형성하는 단계와,
    상기 반도체층 위에 유전체층을 형성하는 단계와,
    상기 유전체층 위에 게이트 전극을 형성하는 단계를 포함하는 박막 트랜지스터를 형성하는 패터닝 방법.
  15. 제 14 항에 있어서,
    상기 인접한 쌍의 금속영역 사이의 거리가 상기 복수의 융기부분 중 하나의 너비(width)와 동일한 것을 특징으로 하는 박막 트랜지스터를 형성하는 패터닝 방법.
  16. 제 14 항에 있어서,
    상기 인접한 쌍의 금속영역은 각각 소스 및 드레인 전극인 것을 특징으로 하는 박막 트랜지스터를 형성하는 패터닝 방법.
  17. 포토레지스트 재료 및 제 1 용매를 포함하는 제 1 액체 재료를 기판에 도포하여 상기 포토레지스트 재료를 포함하는 복수의 패턴의 각각을 형성하는 단계와,
    폴리스티렌 및 제 2 용매를 포함하는 제 2 액체 재료를 스핀 코팅법으로 상기 복수의 패턴에 도포하여 상기 복수의 패턴을 덮는 막을 형성하는 단계와,
    상기 복수의 패턴의 측면에 부착한 복수의 융기부분(ridge)이 남도록 상기 복수의 패턴의 각각의 상부에 형성된 상기 막의 일부를 플라스마 에칭법에 의해 제거하는 단계와,
    상기 폴리스티렌에 반응하지 않는 제 3 용매를 용해시킴에 의해 상기 복수의 패턴의 각각을 제거하는 단계와,
    상기 복수의 융기부분 중 하나가 인접한 쌍의 금속영역 사이에 배치되도록 상기 인접한 쌍의 금속영역을 형성하는 단계와,
    상기 인접한 쌍의 금속영역 사이에 공간을 형성하도록 상기 복수의 융기부분을 제거하는 단계와,
    상기 인접한 쌍의 금속영역 위에 반도체층을 형성하는 단계와,
    상기 반도체층 위에 유전체층을 형성하는 단계와,
    상기 유전체층 위에 게이트 전극을 형성하는 단계를 포함하는 박막 트랜지스터를 형성하는 패터닝 방법.
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
KR1020060003741A 2005-02-25 2006-01-13 고해상 구조체를 제조하기 위한 패터닝 방법 KR100801998B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB0503926A GB2423634A (en) 2005-02-25 2005-02-25 A patterning method for manufacturing high resolution structures
GB0503926.8 2005-02-25

Publications (2)

Publication Number Publication Date
KR20060094859A KR20060094859A (ko) 2006-08-30
KR100801998B1 true KR100801998B1 (ko) 2008-02-12

Family

ID=34430215

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060003741A KR100801998B1 (ko) 2005-02-25 2006-01-13 고해상 구조체를 제조하기 위한 패터닝 방법

Country Status (6)

Country Link
US (1) US7439193B2 (ko)
EP (1) EP1696472A1 (ko)
JP (1) JP4483801B2 (ko)
KR (1) KR100801998B1 (ko)
CN (1) CN1832104A (ko)
GB (1) GB2423634A (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7456104B2 (en) * 2005-05-31 2008-11-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8138075B1 (en) 2006-02-06 2012-03-20 Eberlein Dietmar C Systems and methods for the manufacture of flat panel devices
US8642474B2 (en) * 2007-07-10 2014-02-04 Advanced Micro Devices, Inc. Spacer lithography
GB0724774D0 (en) * 2007-12-19 2008-01-30 Cambridge Display Tech Ltd Organic thin film transistors, active matrix organic optical devices and methods of making the same
KR100968809B1 (ko) * 2008-09-30 2010-07-08 한국전자통신연구원 산화 아연 나노 패턴 형성방법
KR101083843B1 (ko) * 2008-12-11 2011-11-15 경북대학교 산학협력단 평면 게이트 제어 소자 및 그의 제조 방법
WO2010086850A2 (en) 2009-01-29 2010-08-05 Digiflex Ltd. Process for producing a photomask on a photopolymeric surface
CN103563048A (zh) * 2011-03-29 2014-02-05 奈特考尔技术公司 控制氧化硅膜厚度的方法
SG10201807630PA (en) * 2015-02-13 2018-10-30 Entegris Inc Coatings for enhancement of properties and performance of substrate articles and apparatus
JP2018008395A (ja) * 2016-07-12 2018-01-18 レノボ・シンガポール・プライベート・リミテッド 表示付与方法及び表示付与物品
JP7138337B2 (ja) 2018-08-02 2022-09-16 シヤチハタ株式会社 スタンプ台用、朱肉用又は浸透印用油性インキ
CN112180679A (zh) * 2019-07-03 2021-01-05 深圳碳森科技有限公司 一种制备图案化聚合物的方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6138938A (en) * 1996-09-16 2000-10-31 Koenig & Bauer Aktiengesellschaft Installation for loading and unloading rolls automatically
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4359816A (en) * 1980-07-08 1982-11-23 International Business Machines Corporation Self-aligned metal process for field effect transistor integrated circuits
JPS58145133A (ja) 1982-02-23 1983-08-29 Fujitsu Ltd リフトオフパタ−ン形成方法
JPS6066432A (ja) 1983-09-22 1985-04-16 Nippon Telegr & Teleph Corp <Ntt> 微細パタ−ン形成法
JPS62199068A (ja) * 1986-02-27 1987-09-02 Toshiba Corp 半導体装置及びその製造方法
US5147740A (en) * 1990-08-09 1992-09-15 Rockwell International Corporation Structure and process for fabricating conductive patterns having sub-half micron dimensions
JPH06188228A (ja) * 1992-12-17 1994-07-08 Toshiba Corp レジストパターンの形成方法
JPH06188288A (ja) 1992-12-18 1994-07-08 Hitachi Ltd 半導体集積回路装置
US6326640B1 (en) * 1996-01-29 2001-12-04 Motorola, Inc. Organic thin film transistor with enhanced carrier mobility
US6183938B1 (en) * 1998-12-08 2001-02-06 Advanced Micro Devices, Inc. Conformal organic coatings for sidewall patterning of sublithographic structures
US20020155389A1 (en) * 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
GB2373095A (en) * 2001-03-09 2002-09-11 Seiko Epson Corp Patterning substrates with evaporation residues
JP2005535120A (ja) * 2002-08-06 2005-11-17 アベシア・リミテッド 有機電子デバイス
JP4343516B2 (ja) * 2002-10-31 2009-10-14 大日本印刷株式会社 有機半導体材料と有機半導体素子の製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6138938A (en) * 1996-09-16 2000-10-31 Koenig & Bauer Aktiengesellschaft Installation for loading and unloading rolls automatically
US6383952B1 (en) * 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
US6566280B1 (en) * 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate

Also Published As

Publication number Publication date
KR20060094859A (ko) 2006-08-30
EP1696472A1 (en) 2006-08-30
JP4483801B2 (ja) 2010-06-16
JP2006270070A (ja) 2006-10-05
US20060194444A1 (en) 2006-08-31
US7439193B2 (en) 2008-10-21
GB0503926D0 (en) 2005-04-06
GB2423634A (en) 2006-08-30
CN1832104A (zh) 2006-09-13

Similar Documents

Publication Publication Date Title
KR100801998B1 (ko) 고해상 구조체를 제조하기 위한 패터닝 방법
JP5114406B2 (ja) 高性能の有機デバイス製造用レーザアブレーション法
KR100691706B1 (ko) 전자 기능 재료를 원하는 패턴으로 제조하는 방법
US6348295B1 (en) Methods for manufacturing electronic and electromechanical elements and devices by thin-film deposition and imaging
US9159925B2 (en) Process for imprint patterning materials in thin-film devices
US7811934B2 (en) Method of manufacturing nanoelectrode lines using nanoimprint lithography process
US8413576B2 (en) Method of fabricating a structure
JP2012505553A (ja) 選択的な基板領域メッキを可能とする方法
WO2015129799A1 (ja) 配線パターンの製造方法およびトランジスタの製造方法
JP2006222295A (ja) 超微細配線基板の製造方法
KR100413334B1 (ko) 모세관 효과를 이용한 미세 패턴 형성 방법
KR101086162B1 (ko) 박막 형성 방법
US7585334B2 (en) Manufacturing method for molecular rulers
US7951720B2 (en) Method of forming a contact hole for a semiconductor device
KR100734664B1 (ko) 랭뮤어 블로젯막의 배향 원리를 이용한 미세 패턴 형성방법
KR970013040A (ko) 반도체소자 제조방법
Rogers et al. Printed organic transistors and molded plastic lasers
KR100407601B1 (ko) 열처리 및 모세관 현상을 이용한 열경화성 고분자박막상의 미세 패턴 형성 방법
US20230350287A1 (en) Imprint method
JPH02188702A (ja) 回折格子の形成方法
KR100559641B1 (ko) 산화막 하드 마스크를 이용한 서브 마이크론 패턴 형성방법
JP3141855B2 (ja) 半導体装置の製造方法
KR20210010279A (ko) 미세 금속 마스크를 제작하는 방법
KR20050117655A (ko) 레지스트 애싱과 리프트-오프 방식을 이용한 나노 규격구조물 형성방법
JPH02253624A (ja) ヴイアホールの形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120119

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee