KR100663874B1 - 유도구조및플라스마간의용량성전류의위상과역위상부분이평형을이루는유도구조에의해플라스마가여기되는고주파수플라스마처리방법 - Google Patents

유도구조및플라스마간의용량성전류의위상과역위상부분이평형을이루는유도구조에의해플라스마가여기되는고주파수플라스마처리방법 Download PDF

Info

Publication number
KR100663874B1
KR100663874B1 KR1019980704184A KR19980704184A KR100663874B1 KR 100663874 B1 KR100663874 B1 KR 100663874B1 KR 1019980704184 A KR1019980704184 A KR 1019980704184A KR 19980704184 A KR19980704184 A KR 19980704184A KR 100663874 B1 KR100663874 B1 KR 100663874B1
Authority
KR
South Korea
Prior art keywords
plasma
coil
high frequency
potential
phase
Prior art date
Application number
KR1019980704184A
Other languages
English (en)
Other versions
KR19990071898A (ko
Inventor
조지 비노그라도브
시마오 요네야마
Original Assignee
가부시키가이샤 히타치코쿠사이덴끼
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24266258&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100663874(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 가부시키가이샤 히타치코쿠사이덴끼 filed Critical 가부시키가이샤 히타치코쿠사이덴끼
Publication of KR19990071898A publication Critical patent/KR19990071898A/ko
Application granted granted Critical
Publication of KR100663874B1 publication Critical patent/KR100663874B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

제품을 제조하는 프로세스이다. 프로세스는 기판을 물질의 조성에 노출시키는 단계를 포함하고, 물질중 적어도 하나가, 유도 결합 구조의 전압에 용량성 커플된 위상 및 역위상의 벡터합이 실질적으로 평형을 이루는 고주파 필드에 의해 여기된 기체 방전에 의해 생성된 화학종으로부터 방사한다.

Description

유도 구조 및 플라스마간의 용량성 전류의 위상과 역위상 부분이 평형을 이루는 유도 구조에 의해 플라스마가 여기되는 고주파수 플라스마 처리방법{A HIGH-FREQUENCY PLASMA PROCESS WHEREIN THE PLASMA IS EXCITED BY AN INDUCTIVE STRUCTURE IN WHICH THE PHASE AND ANTI-PHASE PORTIONS OF THE CAPACITIVE CURRENTS BETWEEN THE INDUCTIVE STRUCTURE AND THE PLASMA ARE BALANCED}
본 발명은 일반적으로 플라스마 프로세싱에 관한 것이다. 더 상세하게는, 유도 방전을 이용한 디바이스의 플라스마 프로세싱에 관한 것이다. 본 발명은 반도체 디바이스의 플라스마 에칭 및 레지스트 스트리핑에 관해 예를 들어 도시된다. 발명은 또한 반도체 디바이스의 화학 기상 증착(CVD)에 관해 도시될 것이다. 본 발명은 넓게 응용될 수 있다고 볼 수 있을 것이다. 예를 들어서, 본 발명은 다른 플라스마 에칭 응용 및 실리콘, 이산화 실리콘, 폴리실리콘등과 같은 물질의 증착에 또한 응용될 수 있다.
플라스마 프로세싱 기술은 다수의 반도체 제조 방법에서 쓰일 수 있다. 원격 플라스마 화학 기상 증착(RPCVD) 및 이온 보조 플라스마 증속된 화학 기상 증착(LAPECVD)을 포함하여, 화학 드라이 에칭(CDE), 이온 보조 에칭(IAE), 및 플라스마 증속된 화학 기상 증착(PECVD) 같은 예는 플라스마 프로세싱 기술에서 나타난다. 이러한 플라스마 프로세싱 기술은 플라스마를 형성하는 기상 화학종에 전력을 제공하는 유도 코일에 공급된 고주파수에 의존한다.
플라스마는 집적 회로 디바이스 제조에 있어서 막을 형성하거나 제거하기 위해 중성 화학종(즉, 대전되지 않은)을 형성하는데 쓰일 수 있다. 예를 들어, 일반적으로 화학 드라이 에칭은 실제의 이온 충격없이 이러한 중성 화학종을 포함하는 가스 표면 반응에 의존한다.
다른 제조 과정에서, 기판 표면에 이온 충격을 가하는 것은 종종 바람직하지 않다. 그러나, 이 이온 충격은 디바이스에서 물질 층의 성질에 유해한 영향을 끼치는 것으로 알려져 있으며 에너지 및 과다 이온 충격 선속은 인접하는 디바이스 층에서의 물질을 혼합하고, 산화물을 분해하여 마모시키며, 프로세싱 환경에서 형성된 오염 물질을 기판 물질 층에 삽입하게끔 하며 기판 형태(즉, 아모포티제이션(amophotization))에 유해한 변화를 가져온다.
그러나, 선택된 막을 정의하는데 있어서 이온 보조 에칭 방법은 기판 표면으로의 이온 충격에 의존한다. 하지만 이러한 이온 보조 에칭 방법은 흔히 종래의 CDE 방법에 비해 낮은 선택율을 갖는다. 따라서, CDE는 고 선택율이 필요하고 기판에 이온 충격이 필요하지 않을때 선택된다.
보통 화학 드라이 에칭 기술을 사용하는 한가지는 종래의 포토레지스트 스트리핑, 흔히 애싱 또는 스트리핑이라고 불리는 것이다. 종래의 레지스트 스트리핑은 전형적으로 제거를 위해 중성 기상 화학종 및 표면 물질 층간의 반응에 의존한다. 이 반응은 일반적으로 제거할 수 있는 표면 물질 층을 가진 휘발성 물질을 형성한다. 중성 기상 화학종은 플라스마 방전에 의해 형성된다. 이 플라스마 방전은 종래 포토레지스트 스트리퍼의 선택된 주파수에서 작동하는 코일(예를 들면 나선형 코일, 등등)에 의해 유지될 수 있다. 종래 포토레지스트 스트리퍼의 한 예로 스타인베르크 및 다른 이의 명의로 미국 특허 번호 제 4,368,092호로 기술되는 1/4파 나선형 공진기 스트리퍼를 들 수 있다.
상기 언급에 관해서, 화학 드라이 에칭에서의 목적은 요구되는 에칭 선택도를 유지하기 위해 프로세싱되는 표면에 이온 충격(또는 이온 선속)을 감소시키거나 제거하기 위한 것이다. 그러나, 실제로, 종래 기술을 사용하여 그 목적을 달성하기는 종종 어렵다. 이러한 종래 기술은 일반적으로 프로세스 챔버에 이르는 플라스마 소스에 있어서 대전된 화학종의 양을 억제하여 이온 선속을 제어하려한다. 이러한 대전된 화학종을 억제하기 위한 많은 기술이 제의되어 왔다.
이러한 기술은 흔히 플라스마소스와 챔버 또는 그런 종류간의 실드, 배플, 넓은 분리 간격에 의존하며 플라스마소스와 챔버사이에 배치된다. 종래의 기술은 일반적으로 대전된 화학종의 전달성 및 확산성 이송을 간섭하여 플라스마 소스의 전하 밀도 다운스트림을 직접 억제하려한다. 종래 기술은 체적에 대한 표면 영역(예를 들면, 배플 등)을 증가시키거나 흐름 시간을 증가시켜서 대전된 화학종의 재결합을 촉진시키며, 플라스마와 프로세스 챔버간의 거리를 증가시키는 것과 관계가 있다.
그러나, 이러한 배플은 바람직한 중성 부식액 화학종을 또한 잃게 한다. 배플, 실드 등도 흔히 장애가 된다. 배플, 실드, 또는 넓은 분리 거리는 반응성 화학종의 바람직하지 않은 재결합 손실을 야기하며 때로는 고주파수 전력 손실 및 다른 문제를 야기한다. 이러한 배플 및 실드는 또한 미립자 오염의 잠재적인 요소이고, 흔히 집적 회로에 손상을 준다.
배플, 실드, 공간 분리 및 그와 같은 것들은, 단독으로 사용될 때, 또한 실질적으로 원하지 않는 플라스마 와전류를 막기위해서는 종종 불충분하다.
이 플라스마 전류는 웨이퍼와 플라스마 소스간 또는 플라스마 소스와 챔버 벽간에 생성된다. 초기 대전된 화학종 준위가 전기장에 존재할 때, 대전된 화학종은 가속되며 중성 입자와 함께 분리된 충돌은 전하의 농도를 더 높은 준위로 증가시킬 수 있다고 흔히 알려져 있다. 만약 전하와 고주파수 전위의 충분한 시드준위가 존재한다면, 프로세스 웨이퍼 부근에서 와류하는 플라스마는 유해한 전하 밀도 준위에 이를 수 있다. 몇몇 경우에서, 이 전하 밀도는 소스 플라스마 영역에 있는 플라스마 밀도보다 크거나 또는 비슷할 수 있으며 기판으로의 이온 선속을 보다 많이 야기할 수 있다.
전하 밀도는 또한 플라스마 소스와 프로세싱 챔버 또는 기판 지지대간의 전압차를 발생시켜서, 추가적인 악영향을 끼칠수 있다. 이 전압차는 플라스마 소스로부터 전하의 추출을 가속화할 수 있는 전기장을 향상시킨다. 따라서, 이것은 플라스마 소스로부터 프로세스 기판으로 불규칙하게 전송되는 증가된 전하 레벨을 종종 유도하고, 이에 따라 비균일 이온 보조 에칭을 야기시킨다.
그러나, 종래의 이온 보조 플라스마 에칭은 종종 선택된 프로세스 제한 및 선택된 프로세스 에너지 범위내에서 이온 선속 농도 및 균일을 유지하고 제어하는 것을 요구한다. 이온 선속의 농도 및 균일을 제어하고 유지하는 것은 종래 기술을 사용하여 이루기에는 어렵다. 예를 들어, 코일의 고전압 선택 및 플라스마 방전의 용량성 연결은 종종 접지에 비해 제어불가능하고 높은 플라스마 전위를 야기한다. 플라스마 및 접지간의 전압차는, 존슨명의의 미국 특허번호 제 5,234,529호에 도시된 것처럼, 플라스마에 의해 프로세스되는 입자의 고 에너지 이온 충격에 손상을 야기할 수 있다고 일반적으로 알려져 있다. 플라스마 전위의 고주파수 성분은 고주파수 여기를 변화시키는 시간에 대한 결합으로부터 유도된 후 시간에 따라 변한다는 것도 알려져 있다. 따라서, 종래 유도 소스의 플라스마로부터 대전된 입자의 에너지는 상대적으로 넓은 에너지 범위에 걸쳐 발산되며, 플라스마에 의한 입자의 프로세싱에 있어서 제어되지 않은 편차를 이입하려는 경향이 불필요하게 나타난다.
플라스마 소스의 외부 영역과 프로세싱 챔버간의 전압차는 내부 전도막 또는 전극 소자를 소스의 프로세싱 장치 다운스트림으로 이입하여 수정될 수 있다. 플라스마 전위가 이러한 실드 전극에 따라 상승될 때, 실드와 플라스마 소스간의 바람직하지 않은 용량성 방전을 생성하려는 경향이 있다. 이러한 전극 소자는 종종 오염의 원인이 되며 용량성 방전이 있을때(용량성 방전으로부터의 이온 충격은 스퍼터된 물질의 잠재적 원인이다) 오염은 더 커질 수 있다. 오염은 집적 회로 디바이스의 제조에 손상을 준다.
또다른 제한은 실드 또는 전극 소자가 일반적으로 구조 소자로서 작은 홀을 요구한다는 것이다. 이 작은 홀은 가스가 홀을 통과하여 흐르도록 설계된다. 그러나, 이 홀은 불필요한 압력 감소 및 중성 화학종 재결합을 이입하려는 경향이 있다. 만약 홀이 넓게 만들어진다면, 소스로부터의 플라스마는 홀을 통한 이송을 계속하려고 하며 불필요한 다운스트림 전하 선속이 종종 발생할 것이다. 게다가, 막에 있는 이러한 홀에 대한 바람직하지 않은 방전은 때때로 더 바람직하지 않은 중공 음극 효과를 발생시킬 수 있다.
종래의 나선형의 공진기 설계에 있어서, 외부 전도 실드는 유도 전력(예를 들어, 코일 등) 및 플라스마를 포함하는 진공실의 벽 사이에 위치한다. 이러한 외부 용량성 실드된 플라스마 설계의(예를 들어, 나선형 공진기, 유도 방전 등) 많은 제약이 주목되어 왔다. 특히, 용량성 실드된 설계는 종종 동조하고 점화하기 어려운 플라스마를 생성한다. 대체하여, 실드되지 않은 플라스마 소스(예를 들어, 종래의 1/4파 공진기, 종래의 1/2파 공진기 등)를 사용함으로써 코일에 용량성 결합으로부터 실질적인 플라스마 전위를 얻고, 이에 따라 접지된 표면에 대해서 제어되지 않는 플라스마 와전류를 일으키기 쉽다. 따라서, 종래의 1/4 및 1/2파 고주파수를 사용한 실드된 또는 실드되지 않은 소스는 바람직하지 않은 결과를 발생시킨다.
많은 종래의 플라스마 소스에 있어서, 최대 온도 한계보다 낮은 온도에서 처리되는 플라스마 및 기판을 유지하기 위한 냉각수단이 필요하다. 구조적인 전력 손실은 열을 야기시키며 따라서 효과적인 냉각수단을 실현하는데 있어서 어려움과 손실을 증가시킨다. 유도 전류는 또한 여기 코일로부터 내부로 또는 용량성 실드로 커플될 수 있고 이 전류는 바람직하지 않은 전력 손실 및 열의 추가 소스이다. 나선형 공진기 방전에서의 종래의 용량성 실딩은 맴돌이 전류 손실을 줄이기 위해 공진기의 긴 축을 따라 사실상 분해된 실드를 이용했다. 그러나, 그런 실드는 원치 않는 용량성 결합 및 코일로부터 실드로 흐르는 전류에 의한 공진기 특성을 교란시킨다. 일반적인 설계 방정식이 없기에, 축을 따라 실드와 함께 부하가 걸리는 공진기에 대해 현재 알려진 특성도 없으며, 이 설계를 사용한 소스는 시행착오를 거쳐 동작할 수 있는 크기로 만들어져야 한다.
유도 방전에 있어서, 입력 전력, 압력, 가스 구성 및 다른 요소들과 관계없이 접지 전위에 대해 실질적으로 플라스마 전위를 제어하는 것은 매우 바람직하다. 많은 경우에, 실질적으로 플라스마 전위가 접지 전위(최소한 부동 전위 또는 진성 DC 플라스마 전위로부터 미미한 양의 접지 전위로부터 상쇄된)에 이르도록 하는 것이 바람직하다. 예를 들어, 플라스마 소스가 반도체 디바이스 기판(웨이퍼 또는 평형 패널 전자 디스플레이)에서 레지스트를 애싱하기 위해 다운스트림으로 전송되는 중성 화학종을 발생시키는데 이용될 때, 반응지역에 있는 대전된 플라스마 화학종의 농도 및 전위는 전자 또는 플라스마에서 디바이스로의 이온 전류로부터 대전되는 손상을 피하기 위해 감소된다. 소스에 있는 플라스마 및 소스를 벗어난 접지된 표면간 실질적인 전위차가 존재할 때, 소스 영역밖에서 원치않는 와류 플라스마 방전이 형성되는 경향이 있다.
전위차의 또다른 바람직하지않은 영향은 접지된 면으로의 이온의 가속과 수반된 활동적인 이온의 표면과의 충돌이다. 고 에너지 이온 충격은 프로세스되는 디바이스 기판에 격자 손실을 야기시킬 수 있고 챔버 벽 또는 다른 챔버 물질이 디바이스 웨이퍼를 스퍼터하고 오염시키게 야기할 수 있다. 그러나, 다른 플라스마 프로세싱 진행에 있어서, 몇몇 이온 충격은 필요하거나 바람직할 수 있으며, 특히 이방성 이온 유도된 플라스마 에칭 과정의 경우에서도 마찬가지이다. (이온 가속 플라스마 에칭 메카니즘에 대한 설명은 (PLASMA ETCHING, 서문, D.M MANOS 및 D.L FLAMM eds., 챕터 2, 페이지 99~183)을 참조하라) 결과적으로, 유도 플라스마 소스의 코일로부터 플라스마로 표유 용량성 결합에 의해 야기된 제어되지 않는 전위차는 바람직하지 않다.
상기 제약에 관해, 종래의 플라스마 소스는 종래의 고 플라스마 CVD 설계에 사용될 때 단점을 또한 갖고 있다. 이 설계는 플라스마 방전에 있어서 흔히 가스 조성 반응을 형성한다. 플라스마로부터의 충격이 밑에 있는 기판 또는 발생하는 막에 손상을 주기에 충분히 활동적이지 못하다면, 하나의 종래 플라스마 가속 설계는 막의 재배치 및 안정화를 원조하는 이온에 의존한다. 종래의 공진기 및 다른 유도 방전 종류는 종종 용량성 결합으로부터의 플라스마 와전류를 일으키며, 막 특성, 예를 들면, 내부 막에 유해한 영향을 준다. 이러한 플라스마 와전류는 종종 제어불가능하며 상당히 바람직한 것이다. 이 플라스마 소스는 또한 이온 보조 에칭등과 같은 다른 플라스마 프로세싱 설계에 있어서 단점이 있다. 물론, 특별한 단점은 응용에 따라서 의존할 것이다.
이 응용에 사용된 개념을 명확히 하기위해, 다음의 정의를 도입하는 것이 편리할 것이다.
접지(또는 접지 전위) : 이 용어는 일반적으로 플라스마 소스를 둘러싸는 고 전도 실드 또는 다른 고 전도 표면으로 여겨지는 기준 전위로 정의된다. 이 정의의 의미에서 실제 접지 실드가 되기 위해서, 동작 주파수에서의 고주파수 컨덕턴스는, 실드내 전류에 의해 발생된 전위차가 플라스마 소스 또는 기판 지지 어셈블리의 다양한 구조 및 요소에 계획적으로 적용된 전위에 비해 무시할 만한 크기일 정도로 사실상 높다. 그러나, 일부 플라스마 소스는 이 정의를 맞추기 위해 적절한 전기 자화율로 실드 또는 표면을 통합하지 않는다. 접지 실드 또는 접지 면과 다소 비슷한 둘러싸는 전도 면이 실현되는 것에 있어서, 접지 전위는 만약 고주파수 임피던스값 0을 갖고 있었더라면 불완전한 접지된 표면이 평형상태로 맞추어졌을 가상 전위로 간주된다. 상기 정의에 따라 접지로서 동작하는 불충분한 자화율을 가지고 있지 않는 또는 적절히 구성되는 물질 표면이 없는 설계에서, 접지 전위는 실드 또는 고주파수 급전점에서 플라스마 소스로 비평형 전도 라인으로 연결된 접지 컨덕터와 평형 전위인 가상 표면의 전위이다. 플라스마 소스가, 평형을 이루는 전도 라인 RF 급전을 구비한 RF 제너레이터에 연결되는 설계에 있어서, 접지 전위는 급전 라인이 플라스마 소스에 연결되는 점에서 구동 급전 라인 전위의 평균이다.
유도 커플된 전력 : 이 용어는 플라스마 소스를 포함하는 체적에서 유도되어 시변 자속에 의하여 실질적으로 플라스마에 전달된 전력으로 정의된다. 시변 선속은 맥스웰 방정식에 따라 기전력을 유도한다. 이 기전력은 전자 및 플라스마에 있는 다른 고주파수 입자에 의한 이동을 유도하며 이에 따라 에너지를 이 입자에 전한다.
RF 유도 전원 및 바이어스 전력공급 : 대부분의 종래 유도 플라스마 소스 리액터에 있어서, 전력은 고주파수 전력 제너레이터에 의해 유도 결합된 소자(유도 결합된 소자는 낮은 압력에서 점화되는 플라스마에서 가스를 포함하는 절연벽과 인접하는 다중 감김 코일이다)로 전달된다.
종래의 나선형 공진기 : 종래의 나선형 공진기는 플라스마 애플리케이터로 정의될 수 있다. 이 플라스마 애플리케이터는 다중 구성으로 설계 및 동작되었고, 예를 들어, FLAMM 등의 명의로 미국 특허번호 제 4,918,031호, STEINBERG 등의 명의로 미국 특허번호 제 4,368,092호, FLAMM의 명의로 미국 특허번호 제 5,304,282호, JOHNSON의 명의로 미국 특허번호 제 5,234,529호, MILLER 등의 명의로 미국 특허번호 제 5,431,968호에서 설명되었다. 이러한 구성에서, 나선형 공진기 애플리케이터 코일의 일단은 외부 실드에 접지되었다. 종래의 한 구성에서는, 1/4파장의 나선형 공진기 부분은 접지된 애플리케이터 코일 및 전원에 접속되지 않은 타단(즉, 개방 회로가 되어)과 함께 사용된다. 트리밍 커패시턴스는 1/4파 구조를 추가된 커패시턴스 없이 자연 공명 주파수보다 낮은 공명 주파수로 미세 조정하기 위해 때때로 접지된 외부 실드와 코일간에 연결된다. 또다른 종래의 구성에 있어서, 코일의 양단이 접지된 1/2파장의 나선형 공진기 부분이 사용되었다. 코일의 일단 또는 양단을 접지하는 기능은 필요한 것이라 여겨지지 않았지만, 특성을 조정하는 플라스마를 안정화하고 인접 물체에 표유 전류의 결합 가능성을 감소시키는 장점을 가지고 있다고 여겨졌다. 미국 특허 번호 제 4,918,031호를 참조하라.
종래의 공진기는 또한 다른 기하학적 구성으로 조립되었다. 예를 들어, 사각 단면도의 실드를 가지는 나선형 공진기의 설계는 ZVEREV 등, 구성부품에 관한 IRE 보고서, 페이지 99-110, 9월, 1961년에 설명되어 있다. 존슨(미국 특허번호 제 5,234,529호)은 종래의 나선형 공진기에 있는 원통형 나선 코일의 일단이 플라스마 리액터 튜브의 최상위 표면위에서 평형 나선형으로 변형될 수 있다는 것을 알려준다. 박니스 및 그외 다른 사람들의 명의인 미국 특허번호 제 5,241,245호는 나선형 원통 코일이 플라스마 소스의 측벽을 따라 나선형 코일 성분없이 전적으로 평면 나선형 배열로 변형될 수 있다는 것을 알려준다. (이 기하학은 TCP라 칭하는 변압기 커플된 플라스마로서 종종 언급되었다. 위 설명에서 알 수 있듯이 방법 및 장치를 포함한 플라스마 프로세싱을 위한 향상된 기술이 종종 요구된다.
발명의 요약
본 발명은 플라스마 방전을 사용한 제품을 제조하기 위한 방법 및 장치를 포함한 기술을 제공한다. 본 발명은 다수의 플라스마 특성을 선택적으로 제어하기 위해 순간적인 플라스마 AC 전위의 제어에 의존한다. 이러한 특성은 중성 화학종의 양, 대전된 화학종의 양, 플라스마 전위 전체, 플라스마 밀도의 공간범위 및 분포, 전기적 전류의 분포 및 다른 것을 포함한다. 이 기술은 화학 드라이 에칭(즉, 스트리핑 등등), 이온 고속 에칭, 플라스마 침입 이온 삽입, 화학 기상 증착, 물질 성장 및 다른 것을 포함하는 응용에 사용될 수 있다.
본 발명의 한 면에서, 제품을 제조하는 방법이 제공된다. 이 제품은 다수의 디바이스(즉, 반도체, 평형 패널 디스플레이, 마이크로 기계 구조, 등) 및 재료, 즉 다이아몬드, 원료, 플라스틱 등을 포함한다. 이 방법은 기판을 물질(entities)의 조합으로 처리하는 단계를 포함한다. 유도 결합된 구조로부터 위상과 역위상 용량성 커플된 전압(즉, AC 플라스마 전압)의 벡터합이 실질적으로 평형을 이루는 고주파 필드에 의해 여기된 가스 상태의 방전으로 생성된 화학종으로부터 적어도 하나의 물질이 발생된다. 이 방법은 접지 전위에서 또는 접지 전위 근처에서 플라스마 소스로부터 챔버 본체(즉, 기판, 벽 등)로 실질적으로 표유 또는 와류 용량성 결합이 없는 기술을 제공한다.
본 발명의 또다른 면에서, 제품을 제조하는 또 다른 방법이 제공된다. 이 방법은 기판을 물질의 조합으로 처리하는 단계를 포함한다. 유도 결합된 구조로부터 위상과 역위상 용량성 커플된 전압의 벡터합이 선택적으로 유지되는 고주파 필드에 의해 여기된 가스 상태의 방전으로 생성된 화학종으로부터 적어도 하나의 물질이 발생된다. 이 방법은 접지 전위에서 또는 접지 전위 근처에서 챔버 본체에 용량성 결합 양을 선택적으로 제어할 수 있는 기술을 제공한다.
본 발명의 다른 면에서, 제품을 제조하는 또 다른 방법이 제공된다. 이 방법은 기판을 구성 요소의 조성에 노출시키는 단계를 포함한다. 유도 결합된 구조로부터 위상과 역위상 용량성 커플된 전압의 벡터합이 선택적으로 유지되는 고주파 필드에 의해 여기된 가스 상태의 방전으로 생성된 화학종으로부터 적어도 하나의 물질이 발생된다. 플라스마 소스에 있는 적어도 하나의 물질과 기판간에 전압을 선택적으로 가하는 또 따른 단계가 제공된다. 이 방법은 접지 전위에서 또는 접지 전위 근처에서 챔버 본체에 용량성 결합 양을 선택적으로 제어할 수 있는 기술을 제공하며, 물질과 기판간의 구동 전압을 제공한다.
본 발명의 또다른 면은 제품을 제조하는 또 다른 방법을 제공한다. 이 방법은 기판을 물질의 조합으로 처리하는 단계 및 제품의 완료를 위해 상기 기판을 사용하는 단계를 포함한다. 플라스마 애플리케이터, 즉, 나선형 공진기, 유도 코일, 전도 라인등에 의해 제공된 가스 상태의 방전으로 생성된 화학종으로부터 적어도 하나의 물질이 발생된다. 이 플라스마 애플리케이터는, 실드 전위보다 낮은 전위의 같은 소자에 실질적으로 용량성 결합된 플라스마 열(column)과 실질적으로 같은 둘러싼 실드 전위보다 큰 선택된 전위로 소자에 플라스마 열의 용량성 결합에 의해 구동된 적분 전류를 가진다.
또다른 면에서, 본 발명은 제품을 제조하는 장치를 제공한다. 이 장치는 외부 및 내부 표면을 포함하는 인클로저를 가진다. 인클로저는 가스 상태의 방전을 저장한다. 장치는 외부 표면에 인접하여 배치된 플라스마 애플리케이터(즉, 나선형 코일, 유도 코일, 전도 라인 등)를 또한 포함한다. 플라스마 애플리케이터에 동작가능하게 커플된 고주파 전원이 포함된다. 고주파 전원은, 유도 결합된 구조로부터 위상과 역위상 용량성 커플된 전류의 벡터합이 선택적으로 유지되는 고주파 필드으로부터 적어도 하나의 물질을 제공하는 가스 상태의 방전을 여기하기 위해 고주파수를 제공한다.
또다른 면에서, 본 발명은 개선된 플라스마 방전 장치를 제공한다. 이 플라스마 방전 장치는 플라스마 소스, 플라스마 애플리케이터(즉, 유도 코일, 전도 라인 등) 및 다른 소자를 포함한다. 이 플라스마 애플리케이터는 분리된 플라스마 소스를 제공한다. 파형 조정 회로(즉, RLC회로, 코일, 전도 라인 등)는 동작가능하게 플라스마 애플리케이터에 연결된다. 파형 조정 회로는 고주파 전원장치로부터 플라스마의 위상 및 역위상 전위를 선택적으로 조정할 수 있다. 이 고주파 전원장치는 동작가능하게 파형 조정 회로에 연결된다.
본 발명은 종래의 프로세스 기술의 내용에서 이러한 이점을 얻는다. 그러나, 뒷부분의 명세서 및 첨부도면에 따라 본 발명의 특징 및 장점을 이해할 수 있다.
도 1은 본 발명에 따른 대략적인 플라스마 에칭 장치를 도시한다.
도 2는 본 발명에 따른 파형 조정 회로를 사용한 대략적인 구성을 도시한다.
도 3은 본 발명에 따른 대략적인 화학 기상 증착도를 도시한다.
도 4는 본 발명에 따른 대략적인 스트리퍼를 도시한다.
도 5A 내지 5C는 본 발명에 따른 나선형 공진기를 좀더 자세히 도시한다.
도 6은 종래 설계에 있어서 결합으로부터 발생하는 표유 플라스마를 갖는 종래의 1/4파장 나선형 공진기 플라스마 에칭 장치를 도시한다.
도 7은 도6 장치의 코일을 따라 대략적인 고주파수 전압 분포를 도시한다.
도 8은 본 실험에 따른 스트리핑 장치의 대략적인 상면도를 도시한다.
도 9는 본 실험에 따른 스트리핑 장치의 대략적인 측면도를 도시한다.
도 1은 본 발명에 따른 플라스마 에칭 장치(10)의 대략적인 도이다. 이 에칭 장치는 유도 애플리케이터, 즉, 유도 코일과 함께 제공된다. 그러나, 서술된 에칭 장치는 단순히 도시될 뿐이며, 여기서 정의된 것처럼 청구범위를 제한해서는 안된다. 이 기술에 익숙한 숙련자는 본 발명을 다른 처리 챔버 및 그외의 것들과 함께 구현할 수 있다.
에칭 장치는 챔버(12), 피드 소스(14), 배기(16), 페디스털(18), 유도 애플리케이터(20), 유도 애플리케이터로의 고주파 전원(22), 파형 조정 회로(24, 29(WACS)), 페디스털(18)로의 고주파 전원(35), 콘트롤러(36), 및 다른 소자를 포함한다. 추가로, 에칭 장치는 가스 분포기(distributor)(17)를 포함할 수 있다.
챔버(12)는 에칭된 웨이퍼와 같은 제품(28)을 하우징하고, 플라스마 방전을 제공할 수 있는 임의의 적절한 챔버가 될 수 있다. 챔버는 에칭되는 제품(28)에 균일한 플라스마 분포를 제공하는 반구형의 챔버가 될 수 있으나, 또한 다른 형태 또는 예를 들면, 평면 천장, 절단(TRUNCATE)된 각뿔, 원통형, 정사각형의 외형으로 구성될 수 있다. 그 응용에 의존하여, 챔버는 페디스털(18)에 균일한 물질 밀도를 생성하기 위해 선택되며, 에칭 균일성을 위한 고밀도 물질(즉, 부식액 화학종)를 제공한다.
본 챔버는 석영 또는 다른 적절한 물질로 이루어진 내부 표면(26)을 가진 돔(25)을 포함한다. 챔버의 외부 표면은 전형적으로 세라믹 등의 유전성 물질이다. 챔버(12)는 또한 초점 링(32), 커버(도시되지 않았음), 및 다른 소자가 있는 프로세스 키트를 포함한다. 플라스마 방전은, 다른 소스가 쓰일 수 있지만, 분리된(decoupled) 플라스마 소스(DPS) 또는 나선형 공진기인 유도 커플된 플라스마 소스로부터 얻는 것이 바람직하다.
분리된 소스는 유도 애플리케이터(20)에서 얻은 고주파수로부터 시작된다. 유도 커플된 전력은 전원 소스(22)로부터 얻는다. 800Khz에서 80Mhz까지 이르는 rf 신호 주파수는 유도 애플리케이터(20)에 제공될 수 있다. rf 신호 주파수 범위는 5Mhz에서 60Mhz에 이르는 것이 바람직하다. 챔버 천장을 덮는 유도 애플리케이터(즉, 코일, 안테나, 전도 라인, 등등)는 여러 종류의 형태 및 범위를 이용하여 만들 수 있다. 예를 들어, 유도 애플리케이터는 하나의 완전 전도 막, 전도 라인, 또는 다중 코일 감김이 될 수 있다. 유도 애플리케이터의 형태 및 챔버에 대한 위치는 에칭 균일성을 개선하는 페디스털을 덮는 플라스마를 제공하기 위해 선택된다. 플라스마 방전(또는 플라스마 소스)은 서로를 실질적으로 상쇄하는 선택된 위상(23) 및 역위상(27) 전위(즉, 전압)에서 동작하는 유도 애플리케이터(20)으로부터 얻는다. 콘트롤러(36)는 파형 조정 회로(24,29)에 동작가능하게 연결된다. 일실시예에서, 파형 조정 회로(24,29)는 다중 전파(21)에서 동작하는 유도 애플리케이터를 제공한다. 다중 전파의 실시예는 유도 애플리케이터(또는 플라스마에 인접한 코일)를 따라 위상(23)과 역위상 전압(27)의 평형잡힌 커패시턴스를 제공한다. 이 다중 전파 동작은 접지 전위에서 또는 접지 전위 근처에서 플라스마 소스로부터 챔버 본체(즉, 페디스털, 벽, 웨이퍼 등)로의 용량성 커플된 전력을 실질적으로 제거하거나 감소시킨다. 다른 예에서, 파형 조정 회로(24,29)는 선택된 양에 의한 다중 전파보다 짧거나 길게 효과적으로 만들어진 유도 애플리케이터를 제공하며, 이에 따라 다중 전파가 아닌 선택된 위상과 역위상 전압에서 동작한다. 대체하여, 2개 이상, 한 개 또는 파형 조정 회로가 없는 것이 다른 실시예에서 제공될 수 있다. 하지만 이 모든 상기 예에서 있어서도, 위상과 역위상 전위는 실질적으로 서로 상쇄하며, 이에 따라 플라스마 소스로부터 챔버 본체로 용량성 커플된 전력을 실질적으로 제공하지 않는다.
대체 실시예에서, 파형 조정 회로는 유도 애플리케이터로부터, 상쇄되지 않는 플라스마로 커플된 선택된 위상 및 역위상 커플된 전압을 제공하도록 구성될 수 있다. 이것은 플라스마와 챔버 본체 즉, 기판, 접지표면, 벽 등간의 제어된 전위를 제공한다. 일실시예에서, 파형 조정 회로는 플라스마로 가는 전류(즉, 용량성 커플된 전류)를 선택적으로 감소시키기 위해 사용될 수 있다. 이것은 플라스마로의 유도 애플리케이터의 어떤 고 전위차영역이 웨이퍼 조정 회로 어셈블리로 들어감에 따라 플라스마 영역으로(즉, 인덕터 포함하는 플라스마 영역)부터 떨어져 위치해 있을 (즉 떨어져 있을) 때 발생할 수 있고, 이것은 전형적으로 플라스마 영역밖에서 구성된다. 이 실시예에서, 용량성 전류는 감소되고 커플된 전압의 위상과 역위상간의 선택된 평형정도가 유지되며, 이에 따라 선택된 전위 또는 실질적으로 접지 전위까지 제공한다. 다른 실시예에서, 파형 조정 회로는 플라스마로의 전류(즉, 용량성 커플된 전류)를 선택적으로 증가시키기 위해 사용될 수 있다.
도시된 바와 같이, 파형 조정 회로는 유도 애플리케이터의 말단에 부착되어 있다(즉, 연결, 커플 등등 되어 있다). 대체하여, 파형 조정 회로의 각각은 유도 애플리케이션 끝으로부터 떨어진 중간 위치에 부착될 수 있다. 따라서, 대응하는 파형 조정 회로를 위한 상위 및 하위 탭 위치는 조정될 수 있다. 하지만 각 탭 위치보다 위에 그리고 밑에 있는 유도 애플리케이터는 반응성이 있다. 이것은 플라스마 방전과 상호반응할 수 있다는 것을 말한다.
감지 장치는 플라스마 전압을 감지하고 파형 조정 회로 및 rf 제너레이터와 플라스마 처리 챔버간의 어떤 고주파수 매칭 회로를 자동 조정하기위해 이용될 수 있다. 이 감지 장치는 0에서 또는 접지에 대해 또는 다른 어떤 기준 값에 대해 선택된 값에서 평균 AC 전위를 유지할 수 있다. 이 파형 조정 회로는 플라스마 소스와 챔버 본체간의 선택된 전위차를 제공한다. 이 챔버 본체는 다른 바이어스 공급에 의해 공급된 전위 또는 접지 전위에 있을 수 있다. 도 1 참조번호(35)를 참조하라. 파형 조정 회로의 예가 아래에 도시되어 설명된다.
예를 들어, 도 2A 내지 2E는 본 발명에 따른 파형 조정 회로를 사용하는 간략한 구성이다. 이 간략한 구성이 청구범위를 제한해서는 안된다. 일실시예에서, 파형 조정 회로는 실질적으로, 전력을 플라스마로 커플하는 유도 애플리케이터와 직렬인 파형 조정 회로의 전기적 길이가 실질적으로 한 파장의 정수배인 등가 회로 소자(예를 들어, 인덕터, 커패시터, 전도 라인부, 및 기타)를 사용한다. 다른 실시예에서, 회로 소자는 다른 다중 파장에서, 즉, 1/16파, 1/8파, 1/4파, 3/4파 등에서, 유도 애플리케이터를 제공한다. 이 실시예에서(즉, 다중 전파(full-wave mulitple), 다중 1/2파, 다중 1/4파 등), 플라스마 전위간의 위상과 역위상 관계는 실질적으로 상호 상쇄한다. 추가 실시예에서, 파형 조정 회로는 플라스마 에플리케이터에 다수의 파장 부분을 이용하여 서로 상쇄하는 위상과 역위상 전위 관계를 제공하는 회로 소자를 제공한다.
도 2A는 본 발명에 따른 파형 조정 회로를 사용하는 실시예(50)의 간략한 도이다. 이 실시예(50)는 방전 튜브(52), 유도 애플리케이터(55), 외부 실드(54), 상위 파형 조정 회로(57), 하위 파형 조정 회로(59), 고주파 전원(61), 및 다른 소자들을 포함한다. 상위 파형 조정 회로(57)는 나선형 전도 라인 부분(69)이며, 플라스마 소스 영역(60) 외부에 있다. 하위 파형 조정 회로(59) 또한 플라스마 소스 영역(60)밖의 나선형 코일 전도 라인 부분(67)이다. 전원(61)은 이 하위 나선형 코일 부분(67)에 부착되어 접지(63)된다. 파형 조정 회로 각각은 또한 실드(66,68)된다.
이 실시예에서, 파형 조정 회로는 유도 코일(도 2A의 포인트 00을 참조)상의 한 점에서 실질적으로 AC 전압 0을 제공하도록 조절된다. 이 실시예는 또한 이 점(도 2A의 00-A 및 00-C를 참조)의 방향으로 등가 위상(70)과 역위상(71)을 실질적으로 제공하고 물리적인 인덕터 소자(00-C) 및 (00-A)로부터 플라스마로 커플된 등가 커패시턴스를 실질적으로 제공하여 위상과 역위상 전위를 가져온다. 전압 분포(00-A 및 00-C)는 C-D와 결합되며 A-B(점선으로 도시된)는 플라스마 소스 방전 튜브를 둘러싼 전파 인덕터(또는 나선형 공진기)의 선택된 위상/역위상 부분이 요구에 맞게 구성되는 실시예에서 실질적으로 전파 전압 분포를 포함할 것이다.
이 실시예에서, 유도 소자로부터 플라스마 소스에 있는 플라스마 방전으로의 용량성 커플된 전류를 감소하거나 최소화하는 것이 바람직하다. 도 2A의 점 A와 C에서 발생하는 용량성 전류는 피크 위상과 역위상차이의 양으로 변함없이 증가하므로, 이 전압차를 감소시킴으로써 결합은 줄어들 수 있다. 예를 들어, 도 2A에서, 이 결합은 2개의 파형 조정 회로(57, 59)에 의해 달성된다. 코일(55)(또는 방전 소스)은 나선형 공진기이며 파형 조정 회로(57, 59)는 나선형 공진기이다.
방전 소스 나선형 공진기(53)는 종래의 설계 방식을 사용하여 구성될 수 있다. 일반적으로, 이 나선형 공진기는 전파 나선형 공진기의 선택된 위상 부분 "x"(A에서 00을 거쳐 C까지)인 전기적 길이를 포함한다. 파장 조정 나선형 공진기를 위한 물질 매개변수는 적절한 Q,Z값 및 실제 물질의 차원을 실현하기 위해 선택될 수 있다. 특히, 파형 조정 회로 부분의 전도 라인 변수(Q, Z 등등)의 일부 또는 전체는 유도 애플리케이터의 전도 라인 변수와 실질적으로 같게 선택될 수 있다. 유도 플라스마 애플리케이터 나선형 공진기의 부분은, 다시 말해, 경제적인 설비 크기 및 감소된 Q내의 기판 차원에 선택된 균일 값을 제공하기 위해 설계되고 크기조정된다.
파형 조정 회로는 나선형 공진기 및 그외에 사용된 종래의 기술에 비교하여 플라스마 소스에 전력을 맞추고 제어하기 위해 사용되는 고주파수 전력 결합을 제공한다. 특히, 종래 기술은 흔히 접지된 위치위로 코일을 따라 탭 위치를 변화하거나, 또는 접지된 코일 끝에 대해 고정된 탭 위치를 선택하고 이 위치에서 종래의 정합 네트워크, 예를 들어, LC 네트워크, π네트워크 등을 사용하여 임피던스를 정합해서 나선형 공진기로 전원의 임피던스를 정합시키거나, 전력을 커플한다. 플라스마 소스내에서 탭 위치를 변화하는 것은 종종 번거롭고 일반적으로 어려운 기계적인 설계문제를 부과한다. 고정된 탭 및 외부 매칭 네트워크를 사용하는 것 또한 번거로우며 방전 Q에서의 예측하지 못한 변화를 야기할 수 있으며 따라서 동작모드와 안정성에 영향을 끼친다. 본 실시예에서, 파형 조정 회로는 플라스마 소스(또는 유도 코일을 포함하는 공간에서 구속된, 도 2A를 참조)의 외부에 위치할 수 있다. 따라서, 탭 위치의 기계적 설계(예를 들어, 탭 위치를 변화시키는 수단이 전기적 수단 등에 의해 효과적인 고주파수 전력 결합된 점에서 변화한다.)는 종래 기술의 그것에 비해 간략화된다.
본 실시예에서, 고주파수 전력은 하위 파형 조정 회로(59)로 공급된다. 대체하여, 고주파수 전력은 상위 파형 조정 회로로 공급될 수 있다.(도시되지 않음) 고주파수 전력은 또한 도 2B에 도시된 것처럼 파형 조정 회로 설계에 있어서 유도 플라스마 결합 애플리케이터(즉, 코일 등등)로 직접 커플될 수 있다. 대체하여, 다른 응용은 도 2C에 도시된 것처럼 단일 파형 조정 회로를 사용할 것이다. 전력은 코일 위상에서의 탭과 같은 종래 기술에 의해 또는 파형 조정 회로로 커플될 수 있다. 몇몇 실시예에서, 코일에 있는 이 탭은 접지된 단위로 위치한다. 외부 임피던스 매칭 네트워크는, 예를 들어, 종래 동축케이블로부터 애플리케이터의 파형 조정 회로 종결된 단간에 존재하는 임피던스(전압대 전류의 정량)로의 만족스러운 전력 전송 효율을 위해 동작가능하게 전력에 커플될 수 있다.
도 2D에 도시된 것처럼 다중 유도 플라스마 애플리케이터를 사용한 추가 실시예가 제공된다. 이 실시예는 다중 플라스마 애플리케이터(PA1, PA2...PAn)를 포함한다. 이 플라스마 애플리케이터는 각각 유도 커플된 전력 및 대응하는 전압 전위로(V1, V2...Vn)부터의 용량성 커플된 전력의 선택된 조합을 제공한다. 이 플라스마 애플리케이터의 각각은 적절한 매칭 또는 결합된 네트워크를 통해 또는 앞서 설명되었듯이 파형 조정 회로에의 결합에 의해 전원으로부터 직접 전력을 얻는다. 대체하여, 전력 세분파 및 임피던스 매칭 네트워크를 사용한 단일 전력 공급은 플라스마 애플리케이터의 각각(또는 2개 이상)에 커플될 수 있다. 대체하여, 1개 이상의 전원은 최소한 1개의 전력 공급이 1개 이상의 플라스마 애플리케이터간 공유되는 곳에서 사용될 수 있다. 각 전원은 대응하는 파형 조정 회로(WAC1, WAC2...WACn)에 커플된다.
일반적으로, 각 플라스마 애플리케이터는 상위 파형 조정 회로(즉, WAC1a, WAC2a...WACna) 및 하위 파형 조정 회로(즉, WAC1b, WAC2b...WACnb)를 가진다. 상위 및 하위 파형 조정 회로의 조합은 각 플라스마 소스지역의 플라스마 소스 전위를 조절하기 위해 사용된다. 대체하여, 단일 파형 조정 회로는 각 플라스마 애플리케이터를 위해 사용될 수 있다. 각 파형 조정 회로는 실질적으로 같은 임피던스 특성을 가지거나 ,또는 실질적으로 별개의 임피던스 특성을 가진다. 물론 특별히 사용된 구성은 애플리케이션에 의존할 것이다.
예를 들어, 다중 플라스마 애플리케이터는 다수의 애플리케이션에서 선택된 지역에 대해서 상이한 여기 주파수를 사용하기 위해 이용될 수 있다. 이러한 애플리케이션은 고 플라스마 화학 기상 증착을 사용하고 고 이온 에칭 또는 화학적 드라이 에칭 및 다른 것으로 에칭하여 막 증착을 포함한다. 플라스마 청정은 또한 다중 플라스마 애플리케이션에 의해 실행될 수 있다. 특히, 플라스마 애플리케이터중 최소한 한 개는 청정 목적을 위해 사용되는 청정 플라스마의 특성을 나타낸다. 일실시예에서, 이 청정 플라스마는 화학종을 포함하는 산소를 가질 수 있다. 이 청정 플라스마는 산소 방전을 이용하는 특성을 나타내고, 종래 유전 용기를 둘러싸거나 접하는 공명 극초단파 챔버 또는 공동으로의 극초단파 전력에 의해 유지된다. 물론, 다수의 다른 방법은 다중 플라스마 애플리케이터 실시예에 의해 또한 실행될 수 있다.
다중 플라스마 애플리케이터를 사용하는 이 애플리케이션은 종래의 기계적 분리 수단(즉, 배플, 분리 프로세스 챔버 등)의 사용없이 다수의 지역(또는 다수의 챔버)을 제공할 수 있다. 대체하여, 인접하는 지역간 또는 챔버간의 상호작용 정도는 파형 조정 회로를 통한 전압 전위 제어 사용에 의하여 완화될 수 있다. 이 플라스마 소스는 다중 플라스마 소스 챔버를 제공하며, 각각은 고유의 플라스마 애플리케이터를 통한 고유의 제어를 가진다. 이에 따라, 각 플라스마 애플리케이터는 실제 지역 영역(즉, 플라스마 소스)에 선택된 플라스마 특성(즉, 용량성 커플된 전류, 유도 커플된 전류 등등)을 제공한다. 이 지역은 홀로 쓰일 수 있고 또는 다른 지역과 함께 연결될 수 있다. 물론, 특별한 구성은 애플리케이션에 의존할 것이다.
본 실시예에서, 파형 조정 회로는 여러 형태의 전도 라인, 회로등과 같은 소자의 적절한 조합으로부터 사용될 수 있다. 이 전도 라인은 종래의 고체 또는 공기 절연 동축 케이블, 또는 일반적인 것을 포함하며, 전도 라인 및 다른 것에 대해 인덕터/커패시터 이산 어림셈을 반복한다. 이런 유형의 전도 라인은 동축 전도 라인이고, 평형잡힌 병렬 전도 라인, 소위 나선형의 내부 인덕터(즉, 나선형 공진기의 선택된 부분, 등등)를 가진 느린 파장 전도 라인등이다. 각각의, 고정된, 또는 조절가능한 레지스터, 커패시터 및 인덕터(즉, 매칭 네트워크 등)의 조합은 또한 파형 조정 회로를 위한 전도 라인 부문을 대신하여 사용될 수 있다. 이러한 일반적 유형의 파형 조정 회로는 주파수에 종속하며, 주파수 종속 파형 조정 회로(즉 FDWACs)라고 불릴 수 있다.
주파수 독립 소자는 또한 파형 조정 회로로서 사용될 수 있다. 이러한 파형 조정 회로는 주파수 독립 WACs(즉, FIWACs)로 불릴 수 있다. 주파수 독립 파형 조정 회로는 접지로의 단락회로 연결부 또는 무한 임피던스(즉, 개방 회로), 및 다른 것과 같은 변질된 경우를 포함한다. 주파수 독립 파형 조정 회로는 홀로, 또는 주파수 종속 파형 조정 회로와 조합하여 쓰일 수 있다. 대체하여, 주파수 종속 파형 조정 회로는 홀로 또는 다른 파형 조정 회로와 조합하여 쓰일 수 있다. 다른 유형, 대체 구성, 및 수정 또한 애플리케이션에 의존가능할 수 있다.
파형 조정 회로의 동작에 관해, 여러 실시예가 도 2E에 도시된 것처럼 사용될 수 있다. 파형 조정 회로는 플라스마 애플리케이터에 가해지는 파장 부분을 선택하기 위해 사용된다. 몇몇 실시예에서, 고주파수 플라스마 전위의 평균은 유도 애플리케이터의 용량성 커플된 부분인 실질적으로 같은 위상(90,81) 및 역위상(91,82)을 제공하여 접지 전위에 근접하여 유지된다. 이것은 다중 파장 실시예(92), 전파 실시예(93), 다중 반파 실시예, 다중 1/4파 실시예, 또는 다른 어떤 실시예(94)에서 발생할 수 있다.
대체 실시예에서, 제품 기판(또는 다른 어떤 챔버 본체)으로의 제어된 이온 플라스마 선속(또는 이온 충격)을 유도하기 위해 접지 전위에 비해 상승된 소스 플라스마 전압을 유지하는 것이 바람직하다. 이 실시예는 유도 플라스마 애플리케이터의 위상 부분으로부터 용량성 커플된 전류가 역위상 부분의 용량성 커플된 전류보다 많은 것과 같은 파형 조정 회로 부문의 각각의 별도 전기적 길이를 선택함으로써 제공된다. 이 실시예에서, 파형 조정 회로는 커플된 전압의 위상과 역위상간의 신중한 비평형을 제공한다. 몇몇 실시예(97)에서, 이것은 0전압 노드를 프로세스 챔버 축방향으로 이동시킴에 따라 발생하며, 이에 따라 플라스마 방전에 대해 바이어스를 얻는다. 도시된 바와 같이, 위상(95)은 그 역위상(96)에 대해 비평형하다. 다른 실시예(99)에서, 하나의 위상(84)은 상보하는 위상(85)에 대해 다른 주기로 비평형하다. 소스 플라스마 전압이 접지 전위에 대해 더 낮은 다른 실시예가 제공된다. 비평형이 바람직한 실시예에서, 위상과 역위상 전위 부분간의 전위차는 스퍼터링(즉, 벽 스퍼터링 등등)양이 감소할 때 감소된다.(또는 최소화된다.) 그러나, 스퍼터링의 양은 위상과 역위상 전위 부분간의 증가하는 전위차에 의해 증가할 수 있다. 예를 들어, 스퍼터링은 수정 타겟을 스퍼터링, 애플리케이션 및 다른 것을 청정하는데 바람직하다. 물론, 사용된 동작 유형은 애플리케이션에 의존한다.
분배된 커패시턴스와 유도 애플리케이터의 전류 최대량은 전압 최소량에서 발생한다. 특히, 종래 1/4파 나선형 공진기 전류는 실질적으로 코일의 접지된 단에서 상대적 최대량에 이르며, 코일 소자 근처에서는 보다 더 적은 양을 나타낸다. 따라서, 전력의 부분 유도 결합은, 만약 발생한다면, 이 접지된 단에 이르려 할 것이다. 종래의 1/2파 나선형 공진기에서, 유도 결합은 2개의 접지된 각 단에서 발생하려 한다.
본 발명에서, 실질적으로 반대칭 위상 및 역위상 유도 1/2파와 다른 단편적인 파장 애플리케이터 부분은 선택된 고주파수 전압 노드, 즉, 도 2A 참조번호(00)에서 유도 결합을 실질적으로 더 많이 지지한다. 이 효과는 (고주파수 전압 0점에 바로 인접하거나 이 점에서 위상과 역위상 부분의 인덕터 소자에 따른)노드의 아래 또는 위에 직접적으로 위치한 인덕터 애플리케이터 지역에 있는 고 전류 흐름에 의해 야기된다. 코일이 종결되고 극대 전압은 이 위치에 존재하기 때문에 종래의 1/4 및 1/2파 유도 커플된 유도 애플리케이터는 접지된 코일 위치 아래로 급격하게 떨어지는 유도 결합을 가진다. 이것은, 상기 언급되었듯이, 플라스마가 코일 전압으로부터 실드되지 않는 한, 용량성 모드에서 동작하려는 또는 플라스마에 용량성 커플된 전력의 실질적인 부분이 있는 종래의 1/4 및 1/2파 나선형 공진기를 생성한다.
특정 실시예에서, 전력 시스템은 효과적인 동작을 위한 선택된 회로 소자를 포함한다. 전력 시스템은 고주파 전원을 포함한다. 이 고주파 전원은 800KHZ에서 80Mhz에 이르는 범위의 선택적인 또는 연속적인 변화 주파수를 제공할 수 있는 어떤 적절한 고주파수 제너레이터가 될 수 있다. 많은 제너레이터가 유용하다. 바람직하게도, 손실없이 단락 또는 개방 회로 부하로 동작가능한 제너레이터가 산업적 응용으로 쓰인다. 적절한 제너레이터의 한예로, 메사츄세츠, 비벌리에 있는 콤델 유한회사에 의해 제작된 28.12MHZ - 3kW CX-3000 전력의 고정 주파수 rf 제너레이터가 있다. 800KHZ에서 50MHZ에 이르는 범위에 걸친 3kW 출력이 가능한 적절한 가변 주파수 전력 공급 장치는 휴렛 패커드 HP116A, 0-50MHZ 펄스/기능 제너레이터가 있는 IFI 모델 TCCX3500 고 전력 광대역 증폭기를 구동함으로써 제작할 수 있다. 더 높거나 더 낮은 전력을 보유할 수 있는 다른 제너레이터는 애플리케이션에 의존하여 사용할 수 있다.
제너레이터로부터의 전력은 종래의 동축 케이블 전도 라인에 의해 플라스마 소스로 전달될 수 있다. 이 전도 라인의 한 예로 RG8/U 및 동축의 TEFLON 유전체를 갖춘 다른 더 높은 온도급 케이블(예를 들어, RG1151U 등등)이 있다. 몇몇 실시예에서, 전력은 나선형 코일에 이동성 탭을 위치시키고 탭과 접지간 전원을 연결함에 의해 종래의 끝단이 접지되는 1/2파 나선형 공진기로 공급된다. 다른 실시예에서, 매칭 네트워크는 동축 케이블 전력 피드 및 유연성을 위한 나선형 코일 탭간으로 이입될 수 있다. 네트워크를 매칭시키는 것은 선택된 파 구성 및 파형 조정 회로에 의존할 것이다. 예를 들어, 평형잡힌 1/2파 나선형 공진기 실시예에서, 공진기 코일의 단은 실질적으로 0서셉턴스를 가지는 파형 조정 회로로 종결될 수 있다. 특히, 파형 조정 회로는 어떤 전기적 접촉부를 코일의 끝에 제작하지 않거나 전기적 등가를 확립하여 개방 회로로서 설계된다. 대체하여, 코일의 단은 초크 직렬 저항에 의해 독립되며, 이에 따라 고정 기준 전위에 DC 커플된다. 이러한 유형의 파형 조정 회로는 주파수 독립적이며 변질된 경우이다. 이 실시예에서, 위상과 역위상 전류가 코일의 전기적 중점 아래위로 흐르는 것과 같은 고주파수 전력이 제공된다. 이것은 코일의 중점위로의 코일 전압에 요구되는 동작을 안정화하는 전원으로부터 실질적으로 평형잡힌 위상과 역위상 전류 흐름을 제공하며, 또한 실질적인 등가 위상 및 역위상 전압을 제공한다.
위에 설명된 실시예는 또한 다른 플라스마 프로세싱 응용, 즉, PECVD 플라스마 침입 이온 삽입(PIII), 스트리핑, 스퍼터링 등에 적용될 수 있다. 예를 들어, 도3은 본 발명에 따른 간략한 CVD 장치(100)이다. CVD 장치는 챔버(112), 피드 소스(114), 배기(116), 페디스털(118), 전원(122), 접지(124), 나선형 공진기(126), 및 다른 소자를 포함한다. 나선형 공진기(126)는 코일(132), 외부 실드(133) 및 다른 소자를 포함한다. 챔버는 증착을 위한 웨이퍼와 같은 제품(119)의 하우징을 수용할 수 있으며, 플라스마 방전을 제공하는 어떤 적절한 챔버가 될 수 있다. 챔버는 제품에 걸쳐 균일한 플라스마 화학종 분배를 제공하는 곧은 원형 실린더이다. 하지만 챔버는 또한 직사각형의 곧은 실린더, 트런케이트된 원뿔 등의 형태로 구성될 수 있다. 챔버 및 설비는 알루미늄과 석영 및 다른 적절한 물질로 구성된다. 플라스마 방전은 파형 조정 회로를 이용하거나 또는 선택적으로 위상 역위상 포텐션을 조정하기 위한 다른 기술을 이용한 다른 유도 방전 또는 나선형 공진기인 플라스마 소스로부터 전원을 얻는다. 본 CVD 장치는 절연 물질, 즉, 실리콘 이산화물 등의 증착을 제공한다.
상위 표면(130)을 갖는 제품(119)은 증착, 즉, 플라스마 고 화학 기상 증착 장치 (PECVD)등을 위한 본 CVD 장치에 배치된다. 증착 물질의 예로는 실리콘 이산화물(SiO2), 포스포실리케이트 글래스(PSG), 보로포스포실리케이트 글래스(BPSG), 실리콘 질소화물(Si3N4) 등과 같은 절연 물질을 들 수 있다.
일실시예에서, 증착은 본 발명에 따른 유기 실란, 산소, 및 헬륨이나 아르곤과 같은 비활성 기체를 포함하는 혼합물을 이입함으로써 발생한다. 유기 실란은 TEOS, HMDS, OMCT 등과 같은 어떤 적절한 유기 실란이 될 수 있다. 증착은 또한 선택된 예에서 등각이다. 산소의 경우에, 이것은 분당 1리터 이하의 유율을 포함한다. TEOS와 같은 유기 실란과 산소간의 상대적인 유율은 약 1:40에서 2:1까지 이르며, 어떤 응용에 있어서는 약 1:2 이하가 바람직하다. 유기 실란 산소층의 증착 온도는 그 범위가 약 300에서 500℃까지 이르며, 또한 다른 온도가 될 수 있다. 1내지 7Torr의 압력이 일반적으로 사용된다. 물론, 다른 농도, 온도, 물질 및 유율은 특별한 응용에 의존하여 사용될 수 있다.
이 챔버 또한 파형 조정 회로(127)를 포함한다. 파형 조정 회로(127)는 선택된 위상 및 역위상 전압에 용량성 결합되어 동작하는 나선형 코일을 제공하는데 사용된다. 파형 조정 회로의 이 부분(127)은 고주파수를 플라스마 방전 또는 외부 소자, 즉, 설비, 전력 등과 간섭되는 것을 막기위해 또한 실드된다(140). 코일 실드(140)는 구리, 알루미늄, 등과 같은 전도 물질로 만들어진다. 일실시예에서, 동작 주파수는 선택되며 파형 조정 회로는 단락 회로에 조정되고 나선형 애플리케이터의 상위 단은 접지(124)에 조정된다. 이것은 대략 다중 전파에서 동작하는 나선형 코일을 제공하고 실질적으로 등가 위상 및 역위상 부문을 가진다. 이 다중 전파중 동작은 플라스마 소스에 인접한 코일(132)을 따라 위상(151)과 역위상(153)의 평형잡힌 커패시턴스를 제공한다. 다중 전파 동작은 접지 전위에서 또는 접지 전위 근처에서 플라스마 소스로부터 챔버 본체(즉, 페디스털, 벽, 웨이퍼, 등)로의 용량성 커플된 전력량을 감소시키거나 또는 실질적으로 제거한다.
본 실시예에서, 파형 조정 회로(127)는 나선형 전도 라인의 가변성 코일 부분(128)이며, 이것은 외부 실드(133)밖에 선택적으로 배치된다. 따라서, 파형 조정 회로가 단락 회로가 되도록 조정된다면, 플라스마 소스는 전체 순간 AC 전압(134, 135)의 실질적으로 등가 위상(151) 및 역위상(153)을 포함하는 선택된 다중 전파만을 본다. 이 실시예에서, 증착된 산화 막의 응력은 흔히 장력이 있으며, 이것은 바람직하다고 볼 수 있다.
대체하여, 파형 조정 회로(127)는 다중 전파가 아닌 선택된 위상과 역위상 전압에서 동작하는 나선형 공진기를 제공한다. 이 전파형 조정 회로는 플라스마 소스로부터 챔버 본체에 이르는 용량성 결합된 선택된 양을 제공한다. 이 실시예에서의 증착된 산화 막의 응력은 0 또는 약간 압축되게 만들어 질 수 있다. 몇몇 실시예에서, 산화 막은 습기를 흡수하려는 경향의 산화 막을 감소시키기 위한 기판 및 플라스마 소스간의 수백볼트의 고주파수 플라스마 전위로 제거될 수 있다. 이것은 파형 조정 회로가 소스의 외부에 있는 전도 라인의 작은 부분에서 포함하도록 조절하고 이에 대응하여 (애플리케이터 코일이 단락회로가 될 때의 하위 점을 이동하고 따라서 나선형 공진기(126)(즉, 나선형 전도 라인 등)의 전기적 길이 및 애플리케이터의 인덕턴스를 감소시키는 것에 의한) 애플리케이터 코일을 줄임으로써 발생할 수 있다. 물론, 용량성 결합의 선택된 양은 그 응용에 의존할 것이다.
도 4는 본 발명에 따른 레지스트 스트리퍼의 대략적인 도이다. 본 스트리핑 장치는 이전 설명된 CVD 장치와 같이 유사한 소자를 포함한다. 본 스트리핑 장치는 챔버(112), 피드 소스(114), 배기(116), 페디스털(118), 고주파 전원 (122), 접지(124), 나선형 공진기(126) 및 다른 소자를 포함한다. 나선형 공진기(126)는 코일(132), 외부 실드(133), 파형 조정 회로(400), 및 다른 소자를 포함한다. 챔버는 스트리핑 및 플라스마 방전을 제공하기 위한 포토레지스트로 덮인 웨이퍼와 같은 제품을 하우징할 수 있는 어떤 적절한 챔버가 될 수 있다. 플라스마 방전은 플라스마 소스로부터 얻게 되며, 위상 역위상 전위를 선택적으로 조절하기위해 파형 조정 회로 또는 다른 기술을 이용한 다른 유도 방전 또는 나선형 공진기 방전이 바람직하다. 본 스트리핑 장치는 스트리핑 또는 애싱 포토레지스트 즉, 경화된 삽입 등을 제공한다. 그와 같은 스트리핑 장치의 또다른 예는 아래의 실험 부분에 설명된다.
이 실시예에서, 파형 조정 회로는 개방 회로에 의존한다. (즉, 0 서셉턴스) 전력 전송은 커플된 인덕터와 같은 유도 커플된 푸시풀 배열과 같은 평형잡힌 급전과 함께 발생할 수 있다. 이러한 커플된 인덕터를 구성하기 위한 기술은, 예를들어, 커넥티컷(1994), 뉴잉톤, 미국 무선 중계 리그의 R.D Straw, 편집자, The ARRL 안테나 북 및 인디애나(1962), Engineering Ltd, W.I. Orr, 편집자, 무선 핸드북에 설명되어 있으며, 참조하여 모든 용도로 쓰기 위해서 둘다 통합될 수 있다. 일실시예에서, 아철산염 또는 가루 철심 발룬(평형을 이루는 - 비평형인) 도너츠 모양의 코일 변압기(즉, 광대역 전도 변압기, 광대역 변압기 등)(401)는 종래 비평형인 동축 전도 라인으로부터 평형잡힌 매칭을 제공하도록 사용할 수 있다. 도너츠 모양의 코일 발룬을 구성하기 위한 기술이, 예를 들면, 커넥티컷(1990), 뉴잉톤, 미국 무선 중계국, 전도 라인 변압기 J.Sevick, 2판에 설명되어 있다. 도너츠 모양의 코일 변압기는 고주파 전원(122)과 코일(132)간 커플된다. 코일의 위상(405)과 역위상 전압간 중점(406)은 효과적으로 rf 접지되고, 따라서 안정성을 위해 몇몇 실시예에서 유도 애플리케이션의 이 중점을 직접 접지하는 것이 편리할 수 있다. 이것은 전력이, 중앙의 한 쪽에 탭된 종래의 불균형인 피드 라인을 가지고 유도 애플리케이터로 커플될 수 있는 대체 동작을 가능하게 한다. 푸시풀 평형 결합은 종래의 비평형 코일 탭 매칭보다 쉽게 플라스마를 점화하며 일반적으로 선택된 애플리케이션에 있어서 조절하기가 더 쉽다.
실질적으로 등가 위상 및 역위상 전위에서 동작하는 나선형 공진기 실시예에 대해서, 도 5A는 실시예 일부중 등가 회로도의 대략적인 도(200)이다. 도는 단순히 도해일 뿐이며 청구범위를 제한해서는 안된다. 등가 회로도는 다수의 고주파 전원(V1, V2, V3...Vn)(203)을 포함하며, 예를 들어, 단일 고주파 전원을 나타낸다. 이러한 전원은 서로 병렬 연결되어 있다. 전원의 일단은 접지 연결부(201)에 동작가능하게 커플되어 있다. 전원의 타단은 대응하는 커패시터(C1, C2, C3...Cn)에 연결된 것으로 표현할 수 있다. 이 커패시터의 각각은 서로 병력 연결되어 있다. 이 동작모드동안, 커패시터간의 실질적인 전압차는 존재하지 않으며, 병렬로 서로 연결되어 있기 때문이다.
도 5B는 유도 애플리케이터의 각 끝이 단락 회로될 때 도 5A의 나선형 공진기 코일을 따라 순간 AC 전압 및 전류의 대략적인 도이다. 도는 단순히 도해일 뿐이며 청구범위를 제한해서는 안된다. 이 도는 방전 튜브(213) 및 유도 플라스마 방전(또는 플라스마 소스)(501)을 포함한다. 도시되었듯이, 플라스마 방전은 방전 튜브(213)의 제한된 범위(R)를 점유하는 강화된 도너츠 형태의 글로(glow) 영역을 포함한다. 플라스마 방전은 접지 전위(VG)와 고 전압 전위(VH)간의 실질적으로 전압이 0인 평균 전압 전위(VAVE)을 갖는다. 도시된 바와 같이, 플라스마 방전(501)은 VH와 VG에 용량성 결합된 요소를 갖는다. 하지만 이 플라스마 방전의 평균 전압 전위는 0이다. 이 동작은 플라스마에 인접한 코일을 따라 균형잡인 위상(503) 및 역위상(505)의 커패시턴스를 제공하며, 이에 따라 플라스마 소스로부터 챔버 본체로의 용량성 결합을 실질적으로 방해한다. 역시 도시된 바와 같이, 전류 최대값(507)은 VAVE에 나타나고, 이는 위상(503)및 역위상(505)간의 변곡점에 해당한다.
대체 동작모드에서, 유도 커플된 플라스마 전류에 의해 야기된 희미한 플라스마 환은 도 5C에서 도시된 바와 같이, 유도 애플리케이션의 최상위 및 최하위 말단 근처에서 관측가능하다. 이 동작 모드는 대단히 높은 전력에서 동작하는 일반적으로 전파(517) 유도 결합된 코일을 위한 것이며, 즉, 유도 애플리케이터로의 최대 전력 입력은 열적 고려 및 항복현상에 의해 종종 제한된다. 플라스마 방전에 있어서 전류의 환(513, 515)은 최상위 및 최하위 코일의 짧은 단에서 전압 최소값에 따른 최대 코일 전류 영역에 의해 모의실험된다. 이 고 전력 조건에서, 종속전류 환은 감지 가능하며 몇몇 여기는 중간 영역에서 종종 보인다. 이 여기 상태는 부분적으로는 유도 애플리케이터를 따라 전압이 최대이고 전류가 최소인 위치에 커플된 방전내의 용량성 구동된 전류에 의해 야기된다.
대체하여, 공진기의 최상위 및 최하위 단(513)에 있는 종속 유도 플라스마 전류 환은 제한된 입력 전력과 함께 나타나지 않는다. 플라스마의 전도성이 특정 입력 전력에서 단일 전류를 지원하기에 충분하다면, 코일 전류 및 유도 선속은 중앙 부분에 있는 단일 유도 환(515)이 더 안정적이기 위해 유도 애플리케이터의 단을 벗어나 감소한다.
고 전력 동작을 사용한 대체 애플리케이션에 있어서, 두번째 플라스마 전류 환이 존재하는 것은 바람직하지 않을 수 있다. 이 애플리케이션은 종종 실질적으로 최소 내부 용량성 결합을 갖는다. 이 애플리케이션에서, 진공 관에 접하는 유도 애플리케이터(즉, 코일)는 전파로부터 오직 중앙 전류 최대값이 플라스마 소스와 접하는 코일에 존재하고 애플리케이터의 최대 최소 전압간 전위차가 실질적으로 감소되도록 적절한 길이로 축소될 수 있다. 본 애플리케이션은 적절한 임티던스 파형 조정 회로에 의한 애플리케이터를 따른 바람직한 파형을 안정화함으로써 이뤄진다.
상기 실시예에 관하여, 본 발명은 유도 결합된 소자에 대한 선택 부분의 역위상 전압으로의 용량성 결합에 의해 실질적으로 상쇄되지 않는 유도 애플리케이터의 위상 부분으로의 결합으로부터 플라스마 전위가 있는 유도 커플된 플라스마의 프로세싱을 제공한다. 그러나, 종래 유도 소스(즉, 종래 나선형 공진기)는 지금까지 1/4파장 또는 1/2파장에서 동작되었다. 이 모드는 오직 플라스마로의 위상 용량성 결합을 제공하며, 보상 역위상 결합없이 코일을 따라 플라스마 전위를 증가시킨다. 1/2파장보다 긴 종래의 유도 소스는 일반적으로 번거롭고 비실용적이라고 간주되었다. 특히, 이 유도 소스는 크기가 넓고 나선형 코일을 따라 노드를 가지며 비균일 플라스마를 만들어낸다고 생각되었다. 나선형 공진기에서 실질적으로 유도 플라스마를 동작시키기 위해 종래 유도 소스는 코일에서 비롯되는 전기장으로부터 플라스마 튜브를 실드하는 것에 의존했다. 예를 들어, 코일과 플라스마 튜브간에 세로방향으로 분산된 실드를 삽입함으로써 실딩이 발생했다.
본 발명은 실질적으로 순수 유도 커플된 전원을 제공한다. 플라스마 여기를 유지하기 위한 제 1 수단으로서 유도 커플된 전력의 장점은 유도 결합에 의해 생성된 전기장 라인이 솔레노이드이라는 것이다. (즉, 그것은 스스로를 폐쇄한다) 솔레노이드 전기장 라인은 0 발산을 가지기에, 플라스마 체적내에서 스칼라 전위 장을 만들거나 지지하지 않는다. 따라서, 이상적인 경우에서는, 유도 커플된 전력은 플라스마 전위와 결합 소자(즉, 나선형 공진기에서 코일상의 전압) 또는 rf 매칭 네트워크, 만약 이렇게 사용된다면, 간접 및 직접적인 관계를 보여주는 플라스마로 전달될 수 있다. 게다가, 전력을 플라스마로 순수 유도 수단에 의해 전송할 때, 전력 전송은 플라스마의 엘리먼트와 접지 전위(즉, 플라스마와 접지간 전위차는 실질적으로 유도 여기 전력과 독립인 인자에 의해 고정될 수 있다)간에 다른 특정 전위차가 유지될 것을 요구하지 않는다. 비록 이론에서이지만, 유도 전력 전송은 접지에 대해 플라스마의 AC 또는 DC 전위를 올리기를 요구하지 않으며, 실제로 실드되지 않은 전류 기술 유도 소스에서 발견된 플라스마 전위에 유해한 변경 및 실질적인 전환이 있었다.
앞서 언급되었듯이, 또한 강조되어, 종래 상업적으로 이용가능한 유도 소스에 있어서 플라스마 전위 전환을 피하기 위한 가장 영향력이 큰 종래 방법은 유동성 구동 소자와 플라스마 방전 튜브간에 접지된 전도성 부재를 삽입함으로써 유도 결합 엘리먼트의 전기장으로부터 플라스마를 실드하는 것이다. (흔히 다중 턴 코일) 그러나, 실딩은 번거롭고 불편하며 실제 이용시 심각한 단점을 가진다. 실드는 유도 애플리케이터 엘리먼트로 커플되며 고유 공진 주파수에서 광범위한 폭주를 야기시킬 수 있으며, 종래의 설계 방법에 의해서는 예측할 수 없다. 이것은 종종 바람직한 공진을 얻기 위해 힘든 시행 착오 및 반복적인 기계적 설계를 발생시킨다. 실딩의 또다른 단점은 실드가 일반적으로 플라스마 방전 튜브에서 용량성 전기장을 제외하기에 이 실드가 종종 플라스마의 초기 점화를 얻기에 힘들다는 것이다. 특히, 유도 항복의 점화(플라스마 항복으로 알려진)는 일반적으로 용량성 전기장 방전과 함께 시작하며, 낮은 전류 및 전력에서 안정적이다. (예를 들어, J.Amorim, H.S Maciel 및 J.P.Sudana, J.Vac.Sci.Technol.B9, 페이지 362-365, 1991년도 것을 참조하라) 따라서, 실드는 용량성 전기장을 막으려는 경향이 있으며, 플라스마 점화를 유도한다.
네트워크에서 고 전압 RF 점에(나선형 공진기 또는 TCP 코일의 고 전위 구동된 쪽에서 전압 최대값 점과 같은) 가까이 실드를 삽입하는 것은 실드와 코일간 커패시턴스를 통해 큰 변위 전류를 흐르게 야기시킨다. 이 고 전위차는 또한 공기 갭을 가로질러 rf 항복에 손상을 주는 잠재적인 원인이고, 따라서 갭은 불편한 고체 또는 액체 절연체에 의한 보호가 요구될 수 있다. 변위 전류 흐름은 전력 손실을 야기하며 더 높은 전력 RF 발생 장치는 그 전력 손실을 보상하는데 사용되어야 한다는 것을 요구한다. 플라스마 소스 구조에 있어서 결합 손실은 또한 열적 제어의 관점에서 볼 때 바람직하지 않다. 이러한 제한은 파형 조정 회로, 선택된 위상 길이의 유도 애플리케이터, 및 다른 소자를 사용하는 본 발명에 의해 극복된다.
실험
본 발명의 동작을 설명하고 그 원리를 증명하기 위해서, 나선형 공진기 플라스마 소스가 포토레지스트 스트리퍼에 사용되었다. 종래의 나선형 공진기는 또한 이 실험에서 평가되었다. 이 실험은 단순히 예이며, 청구범위를 제한해서는 안된다. 이 기술의 숙련자는 청구에 의해 정의된 발명의 다른 실험, 사용법, 변화, 및 수정을 쉽게 이해할 것이다.
I. 종래의 포로레지스트 스트리퍼
이 실험에서, 종래의 레지스트 스트리퍼는 현재 양수인인 MC 일렉트록닉스 의해 제작된 원형이었다. 물론, 다른 스트리퍼 조작대 또한 애플리케이션에 따라 사용될 수 있다. 종래의 1/4파 나선형 공진기 레지스트 스트리퍼(600)는, 도 6에 도시된 바와 같이, 프로세싱 챔버(604)의 상류부의 1/4파 나선형 공진기 소스(602) 로 구성되었다. 이 1/4파 나선형 공진기(602)는 코일(608)과 다른 소자를 포함했다.
직경 0.4인치 구리 튜브로 5.15번 감은 코일(608)은 외부 구리 실드(610)의 내부에 수직 및 방사상으로 중앙에 위치하며 평균반경 6.4인치로 인치당 0.5 감은 피치인 코일로 될 수 있다. 코일(608)은 전원(612)에 동작가능하게 커플되어 고주파수 13MHZ에서 동작한다. 길이 17인치, 직경 9.25인치의 석영 튜브(606)는 구리 코일(608)의 내부에 중심으로 위치하였다. 실드(610)는 직경내 16인치이며, 두께 약 0.08인치이고 길이 18인치이다. 이 실드(610)는 또한 알루미늄 프로세스 챔버 본체의 접지(Vg) 연결부에 연결되었다. (아래 설명된 전류 측정을 하는 경우를 제외하고)
프로세스 챔버(604)는 종래의 레지스트 스트리퍼를 위한 것이었다. 이 레지스트 스트리퍼는 웨이퍼 지지대(616)(또는 페디스털)와 다른 소자를 포함했다. 프로세스 챔버(604)는 실드(610)를 통해 외부 위치(620)에서 접지로 동작가능하게 커플된다. 웨이퍼 지지대(616)는 그 속에 배치된 웨이퍼(618)를 갖는다.
웨이퍼(618)는 약 1.25미크론의 회전하여 막형성된 미츠비시 카제이(Mitsubishi Kasei) 양성 포토레지스트(MPR-4000)를 갖는 6인치(250mm)형 웨이퍼이다. 이 웨이퍼는 접지된 직경 10인치 웨이퍼 지지대(616)에서 애싱되었다. 이 지지대는 저항성을 가지고 있어서 가열되고, 기판 지지대의 온도는 열전기쌍으로 감지되었다.
나선형 공진기 플라스마 점화된 후에, 관측가능한 플라스마는 프로세싱을 위해 사용되는 모든 조건아래에서 석영 플라스마 튜브를 채웠다. 또한, 강력한 프라즈마 글로는 기판 지지대로의 제 2 플라스마 방전을 나타내는 다운스트림 프로세싱 챔버에서 웨이퍼위로 항상 볼 수 있었다. 제 2 플라스마 방전은 또한 공진기 실드로부터 전류를 감시하기 위해 사용하는 피어슨 전류(Pearson Current) 프로브를 통해 전달되는 길이 2인치, 폭 1.5인치의 구리 편조의 스트립을 거쳐 전류 흐름을 감시하고 절연 블록에서 실드를 상승시킴으로써 측정할 수 있는 약 5-10암페어 실효값(때로는 더 많은)의 챔버로의 전류 흐름을 동반하였다.
도 7은 도 6의 1/4파 나선형 공진기의 코일을 따라 고주파수 전압 분포를 나타낸 간략한 도(700)이다. 이 도는 석영 튜브(606) 및 플라스마 방전(또는 소스)(701)을 포함한다. 도시되었듯이, 플라스마 방전은 701이 석영 튜브(606)의 많은 범위(R)를 차지하는 글로 영역을 포함한다. 플라스마 방전은 접지 전위(VG)과 고 전압 전위(VH)간의 평균 전압 전위(VAVE)를 갖는다. 알 수 있듯이, 플라스마 방전(701)은 평균 전압 전위 VAVE에 의해 VH와 VG에 용량성 결합된 소자를 갖는다. 사실, 상기 언급되었지만, 공진기 실드로부터 챔버로의 전류 흐름은 최소한 5-10 암페어 실효값이었다. 고 전력 애플리케이션에서, 격렬한 스파킹은 용량성 커플된 플라스마 소스로부터의 챔버에서 관측되었다.
Ⅱ.현재의 포토레지스트 스트리퍼
본 발명의 원리 및 동작을 증명하기 위해, 실험이 수행되었다. 이 실험은 포토레지스트 스트리퍼 장치를 사용했다. 클러스터 툴 장치에 있는 레지스트 스트리퍼 장치는 본 발명에 따라 나선형 공진기를 사용했다. 그러나, 이 기술에 익숙한 사람은 다른 실현, 수정, 및 변경이 사용될 수 있다는 것을 이해할 수 있을 것이다. 따라서, 수행된 실험은 아래 첨구범위를 제한하고자 하는 것이 아니다.
포토레지스트 스트리퍼 장치는 도 8과 9에서 도시되었듯이, 클러스터 툴 장치에 있는 다중 프로세스 챔버로 구성되었다. 도 8과 9는 각각 대략적인 상면도(800) 및 측면도이다. 2개의 프로세스 챔버 즉, 챔버 1(901)과 챔버 2(903)가 사용되었다. 챔버 1(901)은 삽입 강화된 레지스트 외피(즉 표피)를 스트리핑하는데 사용되었다. 챔버 2(903)는 남은 포토레지스트를 스트리핑하는데 사용되었다. 대체하여, 챔버 2개는 삽입 강화된 레지스트 표피 및 남아있는 포토레지스트를 스트리핑하는데 사용될 수 있다. 물론, 특별한 사용은 그 애플리케이션에 의존하다. 이 챔버는 또한 세라믹 삽입된 알루미늄으로 만들어 졌으며, 화학적 공격에 높은 저항을 나타낸다.
장치는 또한 프로세스 동작을 예측하기 위해 마이크로프로세서 장착 콘트롤러를 사용했다. 이 마이크로프로세서 장착 콘트롤러는 제어 패널(921)을 통해 액세스될 수 있다. 본 장치는 칼라 LCD 터치패널 디스플레이를 갖춘 엡슨사 제작인 486DX 프로세서로 만든 콘트롤러를 사용했다. 이 콘트롤러는 또한 실드되며 화학적 공격에 높은 저항을 나타낸다.
자동 웨이퍼 핸들링 시스템(910)이 역시 제공되었다. 자동 웨이퍼 핸들링 시스템은 포토레지스트로 덮인 웨이퍼를 프로세스 챔버(901, 903)로 이동시키거나 프로세스 챔버(901, 903)으로부터 이동하기 위해 표준 카세트(912)를 사용했다. 자동 웨이퍼 핸들링 시스템은 로봇(917), 카세트 챔버 1(905), 카세트 챔버 2(907), 카세트 스테이지 1(909), 카세트 스테이지 2(911), 및 다른 소자를 포함했다. 웨이퍼 핸들링 시스템(910)은 청정실로부터 프로세스 챔버(901, 903)로의 카세트(912)를 제공하는 종래 인터록 시스템을 사용했다. 주요 셔틀 챔버(913)는 클러스터 툴 장치에 로봇(917)을 하우징했다. 콘트롤러는 자동 웨이퍼 핸들링 시스템 동작을 예측한다. 본 웨이퍼 핸들링 시스템은 일본의 JEL Co.,LTD에 의해 만들어진다.
냉각판(915)은 로봇(917)을 하우징하는 주요 챔버(913)에 포함되었다. 냉각판(915)는 종래 설계로 되었으며, 스트리핑된후 웨이퍼를 냉각할 수 있었고, 이것은 종종 상승된 온도에서 발생한다. 대체하여, 냉각판은 선택된 프로세스 동작할 때 또는 그 전후에 웨이퍼 온도를 열적 조절하기 위해 사용될 수 있다.
프로세스 챔버(901, 903)는 대응하는 플라스마 소스(923, 925)로부터 다운스트림으로 배치되었다. 각 나선형 공진기는 석영 튜브(929)주위에 배치된 코일(927)을 포함했다. 코일은 평균 반경 9.4인치로 인치당 0.9 피치 감긴 0.4인치 구리 튜브로 11.5번 감겨 이루어졌고 외부 구리 실드(931)의 내부에 방사상 및 수직으로 중앙에 위치하였다. 코일은 전원(도시되지 않음)에 동작가능하게 커플되어 있다. 길이 17인치, 직경 9.25인치인 석영 튜브는 구리 코일 내부의 중심에 위치하였다. 실드는 내부 직경 16인치, 두께는 약 0.08인치, 길이 18인치였다. 실드는 코일의 하위 부분에 동작가능하게 커플되어 있다.
일실시예에서, 프로세스는 웨이퍼로부터 포토레지스트를 스트리핑하는데 사용되었고, 도 9의 참조 번호(933)를 참조하라. 프로세스는 반도체 웨이퍼로부터 삽입된 포토레지스트를 제거하도록 다단계 스트리핑 동작 사용을 포함했다. 8인치 웨이퍼를 사용한 샘플을 준비했다. 이 웨이퍼는 미츠비시 카제이(Mitsubishi Kasei) 양성 포토레지스트(MPR-4000)로 스핀 코팅되었다. 스핀 코팅은 120℃에서 90초 동안 1,200rpm의 속도로 발생했다. 샘플 웨이퍼에서 그 결과로 나타나는 포토레지스트는 두께가 약 1.2미크론이었다. 이 샘플 웨이퍼는 포토레지스트의 상부 근처에 삽입된 강화 레지스트 층을 형성하기 위해 삽입되었다.
삽입 레지스트 스트리핑 프로세스는 최상위 삽입 강화된 레지스트를 제거하기 위해 수행되었다. 이것은 1/2파 나선형 공진기에서 비평형 위상 및 역위상 결합 관계를 사용한 스트리핑에 의해 발생했다. 1/2파 나선형 공진기는 프로세스 챔버중 하나에 구성되었다. 이 챔버에서, 페디스털은 낮은 웨이퍼 온도를 유지하기 위해 약 40℃의 온도를 가졌다. 이 낮은 웨이퍼 온도는 척출 가능성을 감소시키기 위해 유지되었다. 척출은 밑에 있는 포토레지스트에서 증기가 삽입 강화된 레지스트를 통해 폭발할 때 발생한다.
강화된 최상위 층이 제거된 후에, 웨이퍼는 다중 전파에서 동작하는 챔버로 이동되었다. 이 챔버는 다중 전파에서 약 27.12MHZ의 주파수로 동작했다. 이 챔버의 페디스털은 약 150 내지 200℃였다. 전파 구조는 평형 위상 및 역위상 커플된 전류을 제공했고, 이에 따라 용량성 커플된 플라스마의 양을 감소시켰고, 이것은 밑에 있는 기판에 유해할 수 있다. 이 단계에서, 웨이퍼에 있는 모든 포토레지스트를 실질적으로 제거하기위해 오버애싱이 수행되었다. 이 오버애싱 단계중 밑에 있는 기판에 어떠한 손상도 발생하지 않았다.
일단 포토레지스트가 스트리핑되었다면, 웨이퍼는 냉각된다. 특히, 웨이퍼는 다중 전파 프로세스 챔버로부터 제거되며, 냉각지에 배치된다. 이 냉각지는 (가열된) 웨이퍼의 온도를 감소시킨다. 이 웨이퍼는 다시 웨이퍼 카세트로 재배치된다. 일단 모든 웨이퍼가 카세트에서 프로세스되었다면, 스트리핑된 웨이퍼를 포함하는 카세트는 클러스터 툴 장치로부터 제거된다. 이 1/2파장 나선형 공진기의 특성은 아래에서 상세히 설명되었다. 본 실험에서, 다음에 따르는 테스트가 또한 수행되었다.
테스트 1 : 6인치 웨이퍼는 순수 산소의 분당 표준리터 0.2의 기체 흐름, 13.4Mhz의 여기 주파수에서 순방향 rf 2200와트, 및 반사 전력 150와트를 사용한 전체압 0.13Torr에서 애싱되었다. 기판은 60℃로 유지되었고 웨이퍼는 애싱되었으며 방전은 소거되었다. 웨이퍼에서의 애싱 율은 각 웨이퍼의 중심과 중심에서의 최대 애싱율이 대략 상칭하는 율로서 분당 약 3411Å 내지 3139Å 사이에서 변하도록 결정되었다. 평균 에칭율은 분당 3228Å였고 에칭 균일성은 약 4 퍼센트였다.
테스트 2 : 6인치 웨이퍼는 분당 표준리터 1의 기체 흐름, 13.0Mhz의 여기 주파수에서 순반향 rf 전력 2200와트, 및 반사 전력 160와트를 사용한 전체압 1Torr에서 애싱되었다. 기판은 60℃로 유지되었고 애싱율은 웨이퍼의 위치에 의존하는 분당 약 3144Å 내지 3748Å 사이에서 변하도록 결정되었다. 에칭 균일성은 약 9 퍼센트였다.
테스트 3 : 레지스트로 덮인 웨이퍼는 40kev 비소(As)에서 제곱 센티미터당 5 x 1015원자의 선택된 조사량으로 삽입되었다. 웨이퍼는 약 3 제곱 센티미터의 견본으로 분열되였다.
2개의 견본은 표 1에 나열된 여러 상태에서 기판 지지대에 동시에 애싱되었다.
애싱 실험결과
Run Time(s)(sec) Pressure(Torr.) O2Flow(slm)* FwdOwr(W) Refl.Pwr(W) rffreq.(MHz) Temp(℃)
A 180 0.23 0.5 2,000 180 13.2 68
B 132 0.06 0.2 2,150 180 13.3 90
C 180 0.13 0.2 2,200 150 13.3 60
D 300 0.13 0.2 2,200 150 13.3 40
E(I) 90 0.09 0.1 2,200 80 13.4 40
E(II) 150 0.09 0.1 2,200 80 13.4 40
* slm : 분당 표준 리터 (or 1000sccm)
(1) 삽입되지 않은 레지스트가 이 실험에서 사용되었고 애싱은 끝점이 균일성을 시험하기 위해 도달되기 전에 종결되었다. 평균 애싱율은 분당 5259Å이고 균일성은 7.5%이다.
(II) 삽입되지 않은 레지스트는 150초동안 에칭되었으나, 끝점은 100초에서 관측가능했다.
Run D에서 사용된 조건아래에서, 레지스트는 3분 15초후 전체 웨이퍼로부터 제거되었다는 것이 측정되었다. 그 결과, 표에 있는 애싱시간은 약 100초 오버에칭을 포함했다. 실제 애싱율이 달성되는 조건아래에서, 관측가능한 플라스마 방전 및 외장은 웨이퍼상에서 관측될 수 있다.
종래 스트리핑 장치에서 실행된 것과 유사한 전류 진단 측정이 수행되었다. 이 측정에서, 공진기의 실드로부터 프로세싱 챔버로의 전류는 약 0.1 내지 0.5 또는 이하의 암페어 평균값을 나타내었다. 이 측정은 이용할 수 있는 기구를 사용한 에러에 의해 제한되었다. 따라서, 이 전류는 종래 스트리핑 장치에 있어서 측정된 전류보다 낮은 크기의 정도였다.
스트리핑된 웨이퍼의 관측가능한 검사는 대단히 좋은 결과를 나타낸다. 즉, 웨이퍼는 생산 동작을 위한 충분한 속도에서 스트리핑되었고 웨이퍼에 실재 손상은 발생하지 않았다. 이것은 효과적인 웨이퍼 반환시간을 제공하며 플라스마에 의한 손상은 실재적으로 없다. 또한, 절연 블록에서의 실드를 상승시킴으로써 실드로부터 챔버로 측정된 전류는 0.5암페어 평균값 이하였고, 몇 가지 경우에서는, 이용할 수 있는 기구를 사용한 측정된 에러에서 또는 이보다 낮은 에러에서의 값이였다. 이 전류는 실질적으로 종래 스트리핑 장치에서 측정된 것보다 작은 것이었다.
본 발명이 특정 실시예에 따라 설명되었지만, 여러 대안, 수정, 및 등가가 사용될 수 있다. 사실, 본 발명은 또한 거의 모든 다른 플라스마 방전 장치에 적용될 수 있다. 이 방전 장치는 플라스마 침입 이온 삽입 또는 증가하는 다이어몬드, TCPs 및 다른 것을 위한 장치를 포함할 수 있다. 이 방전 장치는 패널 디스플레이, 디스크, 집적 회로, 다이어몬드, 반도체 물질, 베어링, 원료등의 제조를 위해 사용될 수 있다. 따라서, 상기 설명은 첨부한 청구에 의해 정의된 발명의 범위를 제한하는 것으로 취급되어서는 안된다.

Claims (18)

  1. 유도 결합 구조에 의한 위상 및 역위상의 용량성 전류가 결합되는 플라즈마 기체 방전을 고주파 필드에 의해 여기시키는 단계;
    물질 중 적어도 하나가 상기 플라즈마 기체 방전으로 생성된 종으로부터 발생된 상기 물질에 의해 기판을 처리하는 단계; 및
    상기 유도 결합 구조에 의해 상기 플라즈마 기체 방전에 결합된 위상 및 역위상의 용량성 전류가 파형 조정 회로에 의해 실질적으로 평형을 이루도록 하는 단계;를 포함하는 것을 특징으로 하는 제품 제조 방법.
  2. 제 1 항에 있어서, 제품이 반도체 디바이스를 포함하는 것을 특징으로 하는 제품 제조 방법.
  3. 제 1 항에 있어서, 고주파 필드는 나선형 공진기에 의해 제공된다는 것을 특징으로 하는 제품 제조 방법.
  4. 제 3 항에 있어서, 나선형 공진기는 파장의 정수배인 전기적 길이를 갖는 것을 특징으로 하는 제품 제조 방법.
  5. 제 1 항에 있어서, 물질중 하나는 화학 기상 증착용인 것을 특징으로 하는 제품 제조 방법.
  6. 제 1 항에 있어서, 물질중 하나는 플라스마 에칭용인 것을 특징으로 하는 제품 제조 방법.
  7. 제 1 항에 있어서, 유도 결합 구조는 플라스마 기체 방전의 외부에 배치된 회로에 의하여 선택적으로 평형을 이루는 것을 특징으로 하는 제품 제조 방법.
  8. 기판을 물질의 조성물로 처리하고, 제품의 완성을 위해 상기 피처리 기판을 사용하는 단계를 포함하고, 상기 물질중 적어도 하나는 코일을 갖는 나선형 공진기에 의해 제공된 플라스마 기체 방전으로 생성된 종으로부터 발생되고, 주위 실드 전위보다 큰 전위를 갖는 코일의 소자에 대해 플라스마의 용량 결합에 의해 흐르는 적분 전류는 주위 실드 전위보다 낮은 전위를 갖는 코일의 다른 소자에 대해 플라스마의 용량 결합에 의해 흐르는 적분 전류와 같은 것을 특징으로 하는 제품 제조 방법.
  9. 제 8 항에 있어서, 코일로부터 플라스마로 흐르는 용량성 전류는 플라스마로부터 코일로 흐르는 용량성 전류와 실질적으로 같다는 것을 특징으로 하는 제품 제조 방법.
  10. 제 8 항에 있어서, 플라스마가 실드의 평균 전위와 실질적으로 같은 값을 갖는 것을 특징으로 하는 제품 제조 방법.
  11. 외부 및 내부 표면을 포함하고 플라스마 기체 방전을 하우징하는 인클로저;
    상기 인클로저 내부에 놓인 기판을 처리하는 물질 중 적어도 하나를 공급하는 상기 플라즈마 기체 방전을 여기시키기 위해 고주파 전력을 발생시키는 고주파 전원;
    동작가능하게 결합된 상기 고주파 전원으로부터 공급받은 위상 및 역위상의 전류를 상기 플라즈마 기체 방전에 결합하는 유도 결합 구조; 및
    상기 고주파 전원으로부터 상기 유도 결합 구조에 공급된 상기 위상 및 역위상의 전류가 실질적으로 평형을 이루게 하는 파형 조정 회로;를 포함하는 것을 특징으로 하는 제품 제조 장치.
  12. 제 11 항에 있어서, 상기 인클로저가 챔버인 것을 특징으로 하는 제품 제조 장치.
  13. 제 11 항에 있어서, 상기 인클로저가 튜브인 것을 특징으로 하는 제품 제조 장치.
  14. 제 13 항에 있어서, 상기 튜브가 석영, 유리 ,다이아몬드, 폴리머, 사파이어 및 알루미나에서 선택된 물질로 만들어지는 것을 특징으로 하는 제품 제조 장치.
  15. 제 11 항에 있어서, 유도 결합 구조를 선택적으로 평형시키기 위해 유도 결합 구조에 동작가능하게 접속된 매칭 회로를 더 포함하는 것을 특징으로 하는 제품 제조 장치.
  16. 제 11 항에 있어서, 화학 기상 증착에 적합한 것을 특징으로 하는 제품 제조 장치.
  17. 제 11 항에 있어서, 플라스마 에칭에 적합한 것을 특징으로 하는 제품 제조 장치.
  18. 제 11 항에 있어서, 인클로저, 유도 결합 구조, 및 고주파 전원이 실질적으로 파장의 정수배인 전기적 길이를 갖는 나선형 공진기 구조를 나타내는 것을 특징으로 하는 제품 제조 장치.
KR1019980704184A 1995-12-04 1996-10-29 유도구조및플라스마간의용량성전류의위상과역위상부분이평형을이루는유도구조에의해플라스마가여기되는고주파수플라스마처리방법 KR100663874B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US56722495A 1995-12-04 1995-12-04
US8/567,224 1995-12-04
US08/567,224 1995-12-04

Publications (2)

Publication Number Publication Date
KR19990071898A KR19990071898A (ko) 1999-09-27
KR100663874B1 true KR100663874B1 (ko) 2007-06-04

Family

ID=24266258

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980704184A KR100663874B1 (ko) 1995-12-04 1996-10-29 유도구조및플라스마간의용량성전류의위상과역위상부분이평형을이루는유도구조에의해플라스마가여기되는고주파수플라스마처리방법

Country Status (7)

Country Link
US (2) US5965034A (ko)
EP (2) EP0865716B1 (ko)
JP (2) JP2007142444A (ko)
KR (1) KR100663874B1 (ko)
DE (1) DE69607200T2 (ko)
HK (1) HK1010633A1 (ko)
WO (2) WO1997021332A1 (ko)

Families Citing this family (102)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231776B1 (en) * 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
GB9714142D0 (en) * 1997-07-05 1997-09-10 Surface Tech Sys Ltd An arrangement for the feeding of RF power to one or more antennae
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
EP1209721B1 (en) * 1997-10-10 2007-12-05 European Community Inductive type plasma processing chamber
JPH11162697A (ja) * 1997-11-28 1999-06-18 Mc Electronics Kk プラズマ生成用の螺旋共振装置
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
JP2000315598A (ja) * 1999-03-03 2000-11-14 Anelva Corp プラズマ処理装置
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
KR100338057B1 (ko) * 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6458430B1 (en) 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
KR100797423B1 (ko) * 2000-05-17 2008-01-23 가부시키가이샤 아이에이치아이 플라즈마 cvd 장치 및 방법
JP2004511435A (ja) * 2000-07-27 2004-04-15 ファルマシア・コーポレーション うっ血性心不全の処置のための、エポキシ−ステロイド型アルドステロン拮抗薬とβ−アドレナリン作動性拮抗薬の併用療法
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
WO2002097937A1 (en) * 2001-03-23 2002-12-05 Tokyo Electron Limited Inductively coupled high-density plasma source
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
AU2002354103A1 (en) * 2001-12-07 2003-06-17 Tokyo Electron Limited Nitriding method for insulation film, semiconductor device and production method for semiconductor device, substrate treating device and substrate treating method
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3847184B2 (ja) * 2002-03-14 2006-11-15 東京エレクトロン株式会社 プラズマ処理装置
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6774569B2 (en) 2002-07-11 2004-08-10 Fuji Photo Film B.V. Apparatus for producing and sustaining a glow discharge plasma under atmospheric conditions
DE10231739B4 (de) * 2002-07-13 2004-10-28 Deutsches Zentrum für Luft- und Raumfahrt e.V. Induktions-Plasmabrennervorrichtung
DE10231738B4 (de) * 2002-07-13 2005-03-17 Deutsches Zentrum für Luft- und Raumfahrt e.V. Anpassungsvorrichtung für eine Induktions-Plasmabrennervorrichtung und Verfahren zur elektrischen Steuerung und Regelung einer Induktions-Plasmabrennervorrichtung
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US7133180B2 (en) * 2003-06-03 2006-11-07 Oewaves, Inc. Resonant impedance matching in microwave and RF device
US7015414B2 (en) * 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7241361B2 (en) 2004-02-20 2007-07-10 Fei Company Magnetically enhanced, inductively coupled plasma source for a focused ion beam system
US20050202624A1 (en) * 2004-03-12 2005-09-15 Infineon Technologies North America Corp. Plasma ion implantation system
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7713432B2 (en) * 2004-10-04 2010-05-11 David Johnson Method and apparatus to improve plasma etch uniformity
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7687303B1 (en) * 2005-11-01 2010-03-30 Mentor Graphics Corporation Method for determining via/contact pattern density effect in via/contact etch rate
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
JP4864488B2 (ja) * 2006-02-28 2012-02-01 住友精密工業株式会社 プラズマ反応装置
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
US8920600B2 (en) * 2006-08-22 2014-12-30 Mattson Technology, Inc. Inductive plasma source with high coupling efficiency
US8992725B2 (en) 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
DE102007036592B4 (de) 2007-08-02 2014-07-10 Astrium Gmbh Hochfrequenzgenerator für Ionen- und Elektronenquellen
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5203758B2 (ja) 2008-03-17 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置
US7723240B2 (en) * 2008-05-15 2010-05-25 Macronix International Co., Ltd. Methods of low temperature oxidation
JP2009021240A (ja) * 2008-07-03 2009-01-29 Hitachi Kokusai Electric Inc プラズマ処理装置
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
JP2011023356A (ja) * 2010-07-29 2011-02-03 Hitachi Kokusai Electric Inc プラズマ処理装置及び基板処理方法
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8822913B2 (en) * 2011-12-06 2014-09-02 Fei Company Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions
JP2013182966A (ja) 2012-03-01 2013-09-12 Hitachi High-Technologies Corp プラズマ処理装置及びプラズマ処理方法
US9536713B2 (en) * 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6454488B2 (ja) * 2014-07-10 2019-01-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
SG11201609947WA (en) * 2015-01-16 2016-12-29 Ulvac Inc Plasma processing device
KR102363241B1 (ko) 2015-03-27 2022-02-16 삼성전자주식회사 플라즈마 강화 화학기상 증착 장비 및 그 동작 방법
KR102477302B1 (ko) * 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
JP6541623B2 (ja) * 2016-06-20 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
JP6637846B2 (ja) * 2016-06-23 2020-01-29 東京エレクトロン株式会社 フィルタを設計する方法
WO2019035830A1 (en) * 2017-08-16 2019-02-21 Ecosense Lighting Inc MULTI-CHANNEL WHITE LIGHT DEVICE FOR HIGH-COLOR RENDERABLE WHITE LED ACCORDING LIGHT DELIVERY
KR102501660B1 (ko) * 2017-09-13 2023-02-20 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 기판 처리 방법 및 프로그램
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
WO2022059247A1 (ja) * 2020-09-15 2022-03-24 株式会社島津製作所 ラジカル発生装置及びイオン分析装置
WO2023019145A1 (en) * 2021-08-12 2023-02-16 Lam Research Corporation Distortion of pulses for wafer biasing
US11956885B2 (en) * 2021-08-19 2024-04-09 Mks Instruments, Inc. Method and apparatus for impedance matching in a power delivery system for remote plasma generation
JP7417569B2 (ja) 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3873884A (en) 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
WO1991010341A1 (en) * 1990-01-04 1991-07-11 Savas Stephen E A low frequency inductive rf plasma reactor
US5304282A (en) 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5361016A (en) 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5346578A (en) * 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
GB2276785B (en) * 1993-03-31 1997-01-08 Marconi Gec Ltd Changeover means for R F source
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
US5431968A (en) 1993-12-07 1995-07-11 Miller; Paul A. Method for simultaneously coating a plurality of filaments
JP3333294B2 (ja) * 1993-12-22 2002-10-15 株式会社荏原製作所 電源装置
DE4403125A1 (de) * 1994-02-02 1995-08-03 Fraunhofer Ges Forschung Vorrichtung zur Plasmaerzeugung
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3140934B2 (ja) 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
JP3177573B2 (ja) * 1995-02-20 2001-06-18 日本真空技術株式会社 磁気中性線放電プラズマ処理装置
US5573595A (en) 1995-09-29 1996-11-12 Lam Research Corporation Methods and apparatus for generating plasma
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling

Also Published As

Publication number Publication date
US20030168427A1 (en) 2003-09-11
HK1010633A1 (en) 1999-06-25
EP0865716B1 (en) 2000-03-15
JP2007142444A (ja) 2007-06-07
JP4542198B2 (ja) 2010-09-08
WO1997021332A1 (en) 1997-06-12
EP0865716A1 (en) 1998-09-23
DE69607200D1 (de) 2000-04-20
WO1997021330A1 (en) 1997-06-12
DE69607200T2 (de) 2000-11-23
US5965034A (en) 1999-10-12
JP4108125B2 (ja) 2008-06-25
US6858112B2 (en) 2005-02-22
JP2010021590A (ja) 2010-01-28
KR19990071898A (ko) 1999-09-27
EP0865715A4 (en) 2001-03-14
EP0865715A1 (en) 1998-09-23
JP2000501568A (ja) 2000-02-08

Similar Documents

Publication Publication Date Title
KR100663874B1 (ko) 유도구조및플라스마간의용량성전류의위상과역위상부분이평형을이루는유도구조에의해플라스마가여기되는고주파수플라스마처리방법
US6017221A (en) Process depending on plasma discharges sustained by inductive coupling
US6756737B2 (en) Plasma processing apparatus and method
KR100444189B1 (ko) 유도결합 플라즈마 소스의 임피던스 정합 회로
KR100642157B1 (ko) 플라즈마 처리 장치 및 방법 그리고 플라즈마 생성용전극판
KR100652983B1 (ko) 플라즈마 처리 장치 및 방법
KR100652982B1 (ko) 플라즈마 처리 방법 및 장치
JP4852189B2 (ja) プラズマ処理装置及びプラズマ処理方法
JP2635267B2 (ja) Rfプラズマ処理装置
JP2625072B2 (ja) 電磁rf結合を用いたプラズマ反応装置及びその方法
EP1412963B1 (en) Antenna arrangement and plasma processing apparatus with such an arrangement
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
JPH10241898A (ja) Hdp−cvdチャンバ用のプラズマソース
EP1040500A1 (en) A plasma generating apparatus having an electrostatic shield
US20020108713A1 (en) High-frequency electrostatically shielded toroidal plasma and radical source
JP2020017445A (ja) プラズマ処理装置
JP4108125B6 (ja) 発生させたプラズマ間の容量電流における位相部と逆位相部が平衡する誘導構造によって励起される高周波プラズマ処理方法

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
N231 Notification of change of applicant
N231 Notification of change of applicant
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20050509

Effective date: 20060831

Free format text: TRIAL NUMBER: 2005101002963; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20050509

Effective date: 20060831

S901 Examination by remand of revocation
GRNO Decision to grant (after opposition)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121130

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20131210

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20141205

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20151201

Year of fee payment: 10