JP4542198B2 - 半導体素子の製造装置及び半導体素子の製造方法 - Google Patents

半導体素子の製造装置及び半導体素子の製造方法 Download PDF

Info

Publication number
JP4542198B2
JP4542198B2 JP2009246591A JP2009246591A JP4542198B2 JP 4542198 B2 JP4542198 B2 JP 4542198B2 JP 2009246591 A JP2009246591 A JP 2009246591A JP 2009246591 A JP2009246591 A JP 2009246591A JP 4542198 B2 JP4542198 B2 JP 4542198B2
Authority
JP
Japan
Prior art keywords
plasma
coil
potential
applicator
adjustment circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2009246591A
Other languages
English (en)
Other versions
JP2010021590A (ja
Inventor
ゲオールギー ビノグラードフ
詩麻夫 米山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24266258&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JP4542198(B2) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JP2010021590A publication Critical patent/JP2010021590A/ja
Application granted granted Critical
Publication of JP4542198B2 publication Critical patent/JP4542198B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

この発明は、プラズマ処理方法に関する。更に詳しくは、この発明は、誘導放電装置を用いたプラズマ処理方法に関する。本発明は、例えば、半導体素子のプラズマエッチング及びレジストストリッピングに適用される。また、この発明は、半導体素子の化学蒸着(CVD)に適用される。本発明は広範囲の分野に利用可能であるが、特に、その他のプラズマエッチング処理およびシリコン、二酸化珪素、窒化珪素、ポリシリコン等の材料の蒸着処理に適用可能である。
プラズマ処理技術は、種々の半導体製造技術において用いられる。プラズマ処理技術の例としては、化学ドライエッチング(CDE)、イオンエッチング(IAE)、及び遠隔プラズマ蒸着(RPCVD)やイオンプラズマ化学蒸着(IAPECVD)等のプラズマ化学蒸着(PECVD)が挙げられる。これらのプラズマ処理技術では、高周波電力(rf)を誘導コイルに供給し、誘導コイルから気相種に電力を与えてプラズマを形成している。
プラズマは、集積回路素子の製造において、膜除去または膜形成を行うための中性種(すなわち無荷電種)の形成に使用される。例えば、化学ドライエッチングは、一般に、実質的にイオンアタックがなく且つこれら中性種による気体−表面間の反応に基づいて行われている。
その他の製造法においても、基板に対するイオンアタックが好ましくない場合がある。このイオンアタックは、素子の材料層の特性に悪影響を及ぼすことが知られおり、過剰のイオンアタックのフラックスおよびエネルギーは、隣接する素子層の材料の界面混合、酸化物の破壊および摩耗、処理環境で形成された不純物の基板材料層への侵入、基板形態の有害な変化(例えば、非結晶化)等を生じる。
イオンエッチング法では、基板表面に対するイオンアタックによって選択膜を規定している。これらイオンエッチング法は、通常従来のCDE法より低い選択性を有する。高い選択性が要求されかつ基板に対するイオンアタックを避けねばならない場合には、CDE法が用いられる。
CDEと技術的に共通する分野の一つには、アッシング又はストリッピングと言われる従来のフォトレジストストリッピングがある。従来のレジストストリッピングは、代表的には表面材料層の除去を目的とした中性気相種と表面材料層間の反応によるものである。この反応は、一般に表面材料層の除去のため表面材料層との反応により揮発物質を生成する。中性気相種はプラズマ放電によって形成される。このプラズマ放電は、従来のフォトレジストストリッピング装置内における特定の選択周波数によって作動するコイル(例えば、螺旋コイル等)によって維持される。従来のフォトレジストストリッピング装置の例としては、スタインベルグ(Steinberg)等の米国特許第4,368,092号に開示された1/4波長螺旋共振器ストリッピング装置が挙げられる。
米国特許第4,368,092号公報
上記化学ドライエッチングの目的は、加工表面に対するイオンアタック(又はイオンフラックス)を減少しまたは除去して所望のエッチング選択性を保持することにある。しかしながら、実際には、従来の技術によってこの目的を達成することは困難なことがある。一般に、これら従来技術においては、プラズマ容器から処理容器に至る途中で荷電種の量を抑制することによってイオンフラックスを制御する試みがなされている。これら荷電種の量を抑制する技術としては、種々のものが提案されている。
これらの荷電種の抑制技術では、プラズマ源と処理チャンバの間にシルードやバッフル等を配置したり、両者を大きく離間させるなどの手段が採用される。従来技術では、一般に、対流および拡散移動を利用し、荷電種を制御することによってプラズマ源の下流側の電荷密度を下げることが試みられている。これらの技術では、容積に対する表面積(例えば、バッフル等)を大きくするか又はプラズマ源と処理チャンバ間の距離を大きくすることによって流れ時間を長くし、荷電種の再結合を促進している。
しかしながら、これらのバッフルは、所望の中性エッチング種の減少をも起こす。また、バッフル、シールド等は取り扱い難いという問題がある。すなわち、シールド、バッフルを使用した場合または離間距離を大きくした場合は、活性種の再結合による損失を起こすと共に、時には、高周波電力の損失などの問題を生じる。また、これらバッフル及びシールドの使用は、場合によっては集積回路にダメージを与える粒状不純物の発生原因となる。
バッフル、シールド、間隙などは、それぞれ単独で採用したとしても、好ましくない寄生プラズマ電流を実質的に防止するのには不十分である。この寄生プラズマ電流は、ウエハーとプラズマ源の間またはプラズマ源と処理チャンバの壁の間で発生する。通常、初期の荷電種が電界内に存在すると、該荷電種が加速され、中性粒子との解離衝突が電荷密度を高レベルにすることが知られている。仮に、十分なシードレベルの電荷および高周波(rf)電位が存在するならば、処理ウエハー付近の寄生プラズマが有害な電荷密度レベルに達する。場合によっては、これらの電荷密度は、プラズマ源領域内のプラズマ密度と同等またはそれ以上となり、その結果、基板に向かうイオンフラックスを一層増大させることになる。
また、電荷密度は、プラズマ源と処理チャンバ又は基板サポートとの間に電位差を生じ、一層悪い影響を及ぼす。この電位差は、プラズマ源からの電荷の抽出を促す電界を強める。そして、このような電界の存在は、プラズマ源から処理基板へ不規則に搬送される高い電荷レベルを誘発し、不均一なイオンエッチングを起こす。
しかしながら、イオンプラズマエッチングでは、イオンフラックスの強度および均一性が、選択されたプロセス範囲内およびエネルギー範囲内となる様にそれを制御し、保持することが必要である。このイオンフラックスの強度および均一性に関する制御および保持は、従来技術によっては達成し得ないことがある。例えば、コイル高圧部とプラズマ放電との間に存在する容量結合によって、グランドに対して制御困難な高プラズマ電位が生起することがある。米国特許第5,234,529号(Johnson)に開示される様に、プラズマとグランド間の電位差によってプラズマ被処理物を損傷する高エネルギーのイオンアタックが生じることが一般に知られている。更に、時間変化性の高周波により誘導されるため、プラズマ電位の高周波成分が時間的に変化することが知られている。従来の誘導源の中では、プラズマから供給される荷電粒子エネルギーが比較的広範囲のエネルギー領域に拡散し、プラズマによる物品の加工において制御不能な変動を誘発する傾向にある。
プラズマ源のすぐ外側の領域と処理チャンバ間の電位差は、プラズマ源の下流側の処理装置内に内部導電性シールド又は電極部材を設置することによって改良できる。しかしながら、プラズマ電位をこれらシールド電極に対して高めた場合には、シールドとプラズマ源との間で好ましくない容量性放電を発生する傾向がある。これら電極部材は、たびたび汚染源となり、その傾向は、容量性放電が存在する場合に一層大きくなる。(容量性放電によるイオンアタックは、スパッタの潜在的要因となる。)この汚染は、集積回路素子製造にダメージを与える虞がある。
更に、シールド又は電極部材は、一般に、構造的要素として内部に小孔を必要としている。これらの小孔は、ガスを通過させるために設けられる。しかしながら、これらの小孔は、好ましくない圧力降下および中性種の再結合を生起する傾向がある。この孔のサイズを大きくすると、プラズマ源から供給されるプラズマが孔を通過し、下流側で荷電粒子フラックスを生じる結果となるので好ましくない。しかも、シールド内のこれら孔に対して放電が起こると、更に好ましくないホロー陰極効果が発生する可能性がある。
従来の螺旋共振器の構成においては、誘導電力源(例えば、コイル等)とプラズマの発生した真空容器の壁との間に導電性外部シールドが介在する。このような外部容量性シールド型のプラズマ構成(例えば、螺旋共振器、誘導放電など)においては、種々の制約が存在する。特に、この容量性シールド構造では、調整は勿論、点火すらしないプラズマを発生することがある。一方、非遮蔽プラズマ源(例えば、従来の1/4波長共振器、半波長共振器など)を使用すると、プラズマ電位がコイルとの容量結合から実質的に得られ、その結果、グランド面に対する非制御寄生プラズマ電流が発生する傾向がある。従って、遮蔽プラズマ源および従来の1/4波長や半波長の高周波を使用した非遮蔽プラズマ源の何れを使用しても好ましい結果は得られていない。
多くの従来のプラズマ源では、プラズマ源と被処理基板を上限温度以下に保持するため冷却手段を必要とする。系内での電力消費によって加熱が生じるが、有効な冷却手段を設けるのに困難性があり且つコストが増大する。また、励起コイルから内部または容量性シールドヘ誘導電流が発生し、これら電流が好ましくない電力損失および加熱の原因を一層誘発する。従って、螺旋共振器の放電における従来の容量性シールドは、渦電流損失を減少するため、実質的に共振器の長軸方向に沿って分割されたシールドを用いている。しかしながら、このようなシールドは、好ましくない容量結合およびコイルからシールドに流れる電流によって共振器特性を不安定にする。一般的な設計基準が存在せず、また、軸方向に配置されたシールドを有する共振器に関する特性が知られていない現状から、この構成のプラズマ源を用いる場合は、試行錯誤的にそのサイズを決め且つその作業を進めねばならないという欠点がある。
誘導放電においては、供給電力、圧力、ガス組成などから独立し、グランド電位に対してプラズマ電位を実質的に制御可能であることが望ましい。多くの場合、プラズマ電位を実質的にグランド電位に等しく設定する(又は浮動電位または固有直流プラズマ電位に対して無視し得る程度の誤差量だけグランド電位から少なくともオフセットする)のが望ましい。例えば、プラズマ源を用いて中性種を発生し、その中性種をプラズマ源の下流側に輸送して半導体基板(ウエハー又は平板電子デイスプレイ)上のレジストのアッシング処理に利用する場合、反応域内の荷電プラズマ種の濃度および電位を低下させることにより、プラズマから素子に向かう電子またはイオン流によるダメージを防止することが望ましい。プラズマ源とこのプラズマ源から離間したグランド面との間に実質的な電位差が存在する場合には、好ましくない寄生プラズマ放電がプラズマ源領域の外側に生起する傾向がある。
電位差が及ぼす他の好ましくない影響としては、イオンがグランド面に向かって加速され、活性化イオンがグランド面に衝突するという現象を生じることである。高エネルギーのイオンアタックは、被処理素子基板の結晶格子破壊を起こす可能性があり、かつ、チャンバ壁またはチャンバ材料のスパッタを発生し、ウェーハの汚染を起こすことがある。しかしながら、他のプラズマ処理では、特に、異方性イオン誘導プラズマエッチング法(イオンプラズマエッチング機構の詳細に関しては、D.M.マノスおよびD.L.フラム著「プラズマエッチング入門」第2章94−183頁−Academic Press 社編(1989)参照。)の様に、ある種のイオンアタックが必要か又は望ましい場合がある。従って、誘導プラズマ発生源のコイルからプラズマに対する”浮遊(Stray)”容量結合に基因するような制御されない電位差の存在は望ましくない。
また、プラズマCVD技術に適用する場合、上記の様に、従来のプラズマ源は不都合がある。従来のプラズマCVD技術では、通常、プラズマ放電中でガス組成物を反応させる。従来のプラズマ処理技術の一つにおいては、プラズマからのイオンアタックが下層の基板または成長膜を損傷する程に生じていないならば、イオンによって薄膜の再配列および安定化を助長する。従来の共振器および他のタイプの誘導放電では、容量結合により寄生プラズマ電流を生起することがある。これは、膜質に決定的な影響を及ぼすことがあり、例えば、劣化膜などが形成される。寄生プラズ電流は、制御不能なこともあり、非常に好ましくない。この様なプラズマ源は、また、イオンエッチング等の他のプラズマ処理技術においても欠点を生じる。当然ながら利用分野に応じて別の欠点が見られる。
上記から明らかな様に、プラズマ処理に関する方法および装置の改良が要望されている。
本発明によれば、プラズマ放電を用いた製品の製造方法および装置を含む技術が提供される。本発明の技術は、種々のプラズマ特性を選択的に制御するために瞬時プラズマAC電位を制御することから成る。これらのプラズマ特性としては、中性種の量、荷電種の量、全プラズマ電位、プラズマ密度の空間的な拡がりの度合い及び分布、電流分布などが挙げられる。この技術は、化学ドライエッチング(例えば、ストリッピング等)、イオンエッチング、プラズマイオン注入、化学蒸着、材料成長などの分野において使用し得る。
本発明の一つの特徴によれば、製品の製造方法が提供される。これら製品としては、種々の素子、装置(例えば、半導体素子、平坦パネルデイスプレイ、微細加工構造体など)及び材料、例えば、ダイヤモンド、原材料、プラスチック等が含まれる。本発明の方法は、基板を物質の組成物により処理する工程を含む。物質の少なくとも一つは、気相放電により発生する種であり、気相放電は、誘導結合構造部により誘導される容量結合電圧(例えば、ACプラズマ電圧)の位相ベクトルの合成が実質的に平衡している高周波電場によって励起される。本発明の方法は、プラズマ源とグランド電位またはグランド電位付近の電位を有する処理チャンバ各部(例えば、基板、壁など)との間に浮遊または寄生容量結合が実質的に生起しない技術を提供する。
本発明の他の特徴によれば、製品の他の製造方法が提供される。この方法は、基板を物質の組成物により処理する工程を含む。物質の少なくとも一つは、気相放電により発生する種であり、気相放電は、誘導結合構造部によって誘導される容量結合電圧(例えば、ACプラズマ電圧)の位相ベクトルの合成が選択的に所定値に保持された高周波電場により励起される。本発明の方法は、グランド電位またはグランド電位付近の電位を有する処理チャンバ各部との容量結合量が選択的に制御可能な技術を提供する。
本発明の更に他の特徴によれば、製品の更に他の製造方法が提供される。この方法は、基板を物質の組成物により処理する工程を含む。物質の少なくとも一つは、気相放電により発生する種であり、気相放電は、誘導結合構造部によって誘導される容量結合電圧(例えば、ACプラズマ電圧)の位相ベクトルの合成が選択的に所定値に保持された高周波電場により励起される。更に、本発明の方法は、プラズマ源中の物質の少なくとも一つと基板との間に選択的に電圧を印加する工程を含む。この方法は、グランド電位またはグランド電位付近の電位を有する処理チャンバ各部との容量結合量が選択的に制御し得る技術を提供すると共に、物質と基板の間に駆動電圧を印加する技術を提供する。
また、本発の更に他の特徴によれば、製品の更に別の製造方法が提供される。この方法は、基板を物質の組成物により処理する工程と、生成した基板を用いて製品を完成させる工程とを含む。物質の少なくとも一つは、プラズマアプリケータ、例えば、螺旋共振器、誘導コイル、伝送線路などによって励起される気相放電により発生する種である。このプラズマアプリケータは、プラズマカラムと周囲シールド電圧よりも大きい選択電圧を有する部材との容量結合により駆動される積分電流を有する。その際、容量結合は、プラズマカラムとシールド電位以下の電位を有すると等価の部材との容量結合に実質的に等しい。
また、本発明の更に他の特徴によれば、製品の製造装置が提供される。この装置は、外表面および内表面を有する包囲容器から成る。包囲容器は、内部に気相放電を発生し得る。また、本発明の装置は、外表面に隣接して配置されたプラズマアプリケータ(例えば、螺旋コイル、誘導コイル、伝送線路など)を有する。更に、本発明の装置は、プラズマアプリケータに結合された高周波電源を有する。この高周波電源は、気相放電を励起するため高周波を供給し、誘導結合構造部と結合した容量結合電流の位相ベクトルの合成が選択的に所定値に保持された高周波電場から少なくとも一つの物質を得るために設けられる。
また、本発明の更に他の特徴によれば、改良プラズマ放電装置が提供される。このプラズマ放電装置は、プラズマ源、プラズマアプリケータ等(例えば、誘導コイル、伝送線路など)から成る。このプラズマアプリケータは、ウェーハやチャンバー等の物質とプラズマ結合しないプラズマ源を提供する。プラズマアプリケータには、波形調整回路(例えば、RLC回路、コイル、伝送線路など)が動作可能に結合される。波形調整回路は、高周波給電部により生起するプラズマの位相および逆位相の電位を選択的に調整できる。この高周波給電部は、波形調整回路と接続される。
本発明は、公知のプロセス技術を一部利用しても上記の利点を達成できる。本発明の特質および利点は、後述の発明の詳細な説明および添付図面を参照することにより更に具現化される。
本発明によれば、プラズマ源とグランド電位またはグランド電位付近の電位を有する処理チャンバ各部(例えば、基板、壁など)との間に浮遊または寄生容量結合が実質的に生起しないプラズマ処理技術を提供できる。また、グランド電位またはグランド電位付近の電位を有する処理チャンバ各部との容量結合量が選択的に制御可能なプラズマ処理技術を提供できる。
本願で使用する種々の概念を明確にするため、以下の様に各用語を限定する。
グランド(又はグランド電位):
プラズマ源を取り囲む高導電性シールド又は他の高導電性表面の電位として一般に設定される基準電位。この限定の趣旨に沿った実際のグランドシールドを形成するためには、作動周波数における高周波(RF)コンダクタンスを実質的に高く設定し、シールド内電流により発生する電位差を小さくすればよい。すなわち、電位差は、プラズマ源または基板サポートアセンブリの種々の構造部および部材に対し、意図して印加された電位に比べて無視し得る程度に小さくされる。しかしながら、ある種のプラズマ源には、この定義に適合させるため、適当な電気的サセプタンスを有するシールド又は面を有しないものがある。グランドシールド又はグランド面に幾分類似する周囲導電性表面が存在する構成においては、グランド電位を、不完全接地表面が零高周波インピーダンスを持つことと等価である仮想グランド電位と見なすことが出来る。適当に配設された物理表面または上記限定のグランドとして作用するのに充分なサセプタンスを有する物理表面が備えられていない構成においては、RFフィード点でプラズマ源と非平衡伝送線路との結合のためのシールド又はグランド導電体と等電位である仮想表面の電位をグランド電位と見なす。プラズマ源が平衡伝送線路の高周波(RF)フィードを有する高周波(RF)発生器に結合されている場合には、駆動フィードラインのプラズマ源に対する結合点における電位の平均値をグランド電位と見なす。
誘導結合電力:
実質的に、プラズマ源を含む容積部において誘導される時間変化型磁束によってプラズマに転移される電力。時間的に変化する磁束は、マクスウエル方程式に従って起電力を発生する。この起電力は、プラズマ中での電子または他の荷電粒子の移動を誘発し、これによってこれら粒子にエネルギーを付与する。
高周波(RF)誘導電源およびバイアス電源:
殆どの従来型誘導プラズマ反応器では、高周波(rf)電力発生器によって、誘導結合部材に電力を供給する。(誘導結合部材は、低圧でプラズマ励起可能なガスを含有した誘電壁部に当接した多重巻回コイルから成る。)
従来型螺旋共振器:
従来型螺旋共振器は、プラズマアプリケータであってもよい。これらプラズマアプリケータは、例えば、米国特許第4,918,031号(フラム(Flamm)他)、米国特許第4,368,092号(スタインベルグ(Steinberg)他)、米国特許第5,304,282号(フラム(Flamm))、米国特許第5,234,529号(ジョンソン(Johnson))、米国特許第4,918,031号(ミラー(Miller))等に記載の複数の構成で成り立ち且つ作動する。これらの構成において、螺旋共振器アプリケータコイルの一端は、その外側シールドにグランドされる。一つの従来構成では、一端がグランドされた他端浮動状態(すなわち、開路された)のアプリケータコイルを有する1/4波長螺旋共振器が用いられる。場合によっては、トリミングキャパシタンスをグランド外側シールドとコイル間に結合し、キャパシタンスを追加することなくその1/4波長構造自体が持つ共振周波数以下の所望の共振周波数に微調整する。他の従来構成では、コイル両端が接地された半波長螺旋共振器を用いる。コイルの一端または両端での接地は、重要ではないと考えられていたが、プラズマの作動特性を安定化し、周辺部材に浮遊電流が流れ込むのを防止するのに有利である(米国特許第4,918,031号参照)。
従来型共振器としては、他の構成を有するものもある。例えば、方形断面を有するシールド体を備えた螺旋共振器の構成が、Zverev他の”IRE Transactionson Component Parts”99−110頁(1961年9月)に開示されている。また、米国特許第5,234,529号(ジョンソン(Johnson))においては、従来型螺旋共振器の円筒状螺旋コイルの一端をプラズマ反応管上面で平坦状の螺旋体に変形することが示唆されている。更にまた、米国特許第5,241,245号(バーンズ(Barnes))においては、螺旋円筒状コイルを全体的に平坦状螺旋体に変形し、プラズマ源の側壁に沿って螺旋コイル部を配置しない構成の従来型螺旋共振器が教示されている。(この構成は、変成器結合プラズマ(TCP)と呼ばれることもある。)
図1は、本発明に係るプラズマエッチング装置10の模式図である。このエッチング装置は、誘導アプリケータ、例えば誘導コイルを有する。図示されたエッチング装置は、単なる例示であり、本願の請求の範囲を限定するものではない。当業者は他の処理チャンバを用いて本発明を実施することも出来る。
エッチング装置は、チャンバ12、エッチング用ガス供給源14、排気孔16、ウェーハステージ(又はサセプタ)18、誘導アプリケータ20、波形調整回路24、29(WACs)、ウェーハステージ18用高周波(rf)電源35、コントローラ36等から成る。更に、エッチング装置は、任意にガス分配器17を有していてもよい。
チャンバ12は、エッチング処理されるウエハー等の製品28を収容可能で且つプラズマ放電を内部で形成し得るチャンバであれば何れも使用できる。チャンバは、被エッチング製品28上に均一にプラズマを分配するため、ドーム型チャンバとすることが出来る。また、チャンバは、他の構成または形状、例えば、平坦天井になされた形状、角錐台形状、円筒状、矩形状などであってもよい。適用分野に応じ、チャンバは、ウェーハステージ上に物質の均一密度域を形成し、均一なエッチングのための物質の高密度層を提供する様に適宜構成される。
本発明のチャンバは、石英又は他の適当な材料から成る内側面26を有するドーム25を備えている。チャンバの外側面は、代表的には、セラミック等の誘電材料によって形成される。同様に、チャンバ12は、フォーカスリング32、カバー(図示せず)及び他の部材からなる処理キットを有する。好ましくは、プラズマ放電は、ウェーハやチャンバー等の物質とプラズマ結合しないプラズマ源(DPS)又は螺旋共振器などの誘導結合プラズマ源から励起される。
ウェーハやチャンバー等の物質とプラズマ結合しないプラズマ源は、誘導アプリケータ20から誘導された高周波電力により生起する。誘導結合電力は、電源22から誘導される。誘導アプリケータ20には、800kHz〜80MHzの高周波信号が供給される。好ましい高周波信号の周波数の範囲は、5MHz〜60MHzである。誘導アプリケータ(例えば、コイル、アンテナ、伝送線路など)は、チャンバの天井板上に配置され、種々の形状で構成される。例えば、誘導アプリケータは、単一の一体導電性フィルム、伝送線路または多重巻回コイルであってもよい。誘導アプリケータの形状およびチャンバに対する位置は、ウェーハステージ上に形成されたプラズマが均一なエッチングを行える様に適宜決定される。
プラズマ放電(又はプラズマ源)は、実質的に相殺し合う選択位相23及び逆位相27の電位(すなわち電圧)で動作する誘導アプリケータ20から誘導される。コントローラ36は、波形調整回路24、29に接続される。一実施態様において、波形調整回路24、29は、多重全波長21で動作する誘導アプリケータを提供する。この多重全波長動作に関する実施態様では、誘導アプリケータ(又はプラズマに隣接したコイル)に沿って位相23及び逆位相27の平衡キャパシタンスが存在する。この多重全波長動作により、プラズマ源から、グランド電位に等しいか又はこれに近接した電位を有するチャンバ部材(例えばウェーハステージ、壁、ウエハー等)に向かって生じる容量結合電力量を減少または実質的に除去できる。また、波形調整回路24、29は、多重全波長より所定量だけ短いか又は長い波長を効果的に形成し、これによって多重全波長とは異なる位相および逆位相電圧で動作する誘導アプリケータに設けられる。更にまた、他の実施態様では、一つ又は2つ以上の波形調整回路を設けてもよいし、また、設けなくてもよい。何れの実施態様においても、位相および逆位相の電位は実質的に相殺し合い、それによってプラズマ源とチャンバ各部との間に容量結合電力が実質的に生じない様に構成される。
他の実施態様において、波形調整回路は、誘導アプリケータからプラズマに与えられる位相および逆位相電圧が互いに相殺しない様に構成されてもよい。これにより、プラズマとチャンバ各部、例えば基板、グランド表面、壁部などとの間に制御された電位を形成できる。一つの実施態様においては、プラズマに向かう電流(すなわち、容量結合電流)を選択的に減少させるため、波形調整回路を使用できる。この例としては、プラズマに対向する誘導アプリケータのある種の高電位差領域がプラズマ領域外のウエハー調整回路アセンブリ内に入り込むことにより、プラズマ領域(誘導子を含むプラズマ領域)から離間して存在する場合が挙げられる。この実施態様では、容量電流を減少すると共に、結合電位の位相と逆位相の所定の対称割合を保持でき、これによって所定の電位または実質的にグランド電位さえも実現できる。他の実施態様において、波形調整回路は、プラズマに対する電流(すなわち容量結合電流)を選択的に増加させるために使用できる。
図示した様に、波形調整回路は、誘導アプリケータの端部に取り付けられる(例えば、結合、接続される)。また、各波形調整回路は、誘導アプリケータの端部から離間した中間位置に取り付けることも出来る。従って、各波形調整回路の上部および下部タップ位置は調整可能になされる。各タップ位置の上下の両誘導アプリケータ部分は活性領域を形成する。すなわち、これら両領域ともプラズマ放電に対する作用領域となる。
センサー装置は、プラズマ電圧を検知し、高周波発生器とプラズマ処理チャンバ間の波形調整回路および高周波整合回路を自動調整することが出来る。このセンサー装置は、零電位、グランド電位または他の基準電位に対して平均AC電位を所定値に保持する。この波形調整回路は、プラズマ源とチャンバ各部との間に所定電位差を付与するために設けられる。これらのチャンバ各部は、グランド電位または他のバイアス電源、例えば図1中の符号35で示される電源によリ与えられる電位に保持される。波形調整回路の例は、以下の図面により詳述する。
例えば、図2〜図6は、本発明の波形調整回路を使用した概略構成図を示す。これらの概略構成図は本願請求の範囲を限定するものではない。一実施態様において、これらの波形調整回路は、実質的に同一の回路部材(例えば、誘導子、コンデンサー、伝送線路部分など)を使用し、プラズマに付与される誘導アプリケータ結合電力に直列に配置された波形調整回路の電気的長さ(electrical length)が実質的に一波長の整数倍となる様に構成される。他の実施態様において、回路部材は、整数倍以外の倍数の波長、たとえば1/6波長、1/8波長、1/4波長、1/2波長、3/4波長などで動作する誘導アプリケータを形成する。これらの実施態様(例えば、多数倍全波長、半波長、1/4波長など)では、プラズマ電位間の位相と逆位相は実質的に相殺し合うものである。更に他の実施態様において、波形調整回路には、種々の波長の一致によって互いに相殺し合う位相と逆位相の電位関係をプラズマアプリケータに提供する様な回路部材が使用される。
図2は、波形調整回路が設けられた本発明の実施態様50を模式的に示す図である。この実施態様50は、放電管52、誘導アプリケータ55、外部シールド54、上部波形調整回路57、下部波形調整回路59、高周波電源61、及び他の部材から成る。上部波形調整回路57は、プラズマ源領域60の外側に配置された螺旋コイルの伝送線路部69から構成される。下部波形調整回路59は、同様に、プラズマ源領域60の外側に配置された螺旋コイルの伝送線路部67から構成される。電源61は、部位65で下部螺旋コイル部67に接続され、また、部位63で接地される。各波形調整回路57及び59は、シールド68及び66を有する。
この実施態様において、これらの波形調整回路は、誘導コイルの一点で実質的に零AC電圧を発生する様に調整される(図2の点00参照)。この実施態様では、この点の周囲で実質的に等しい位相70及び逆位相71の電圧分布が生じると共に(図2の00−A及び00−C参照)、位相および逆位相の電位を有する物理的誘導子部(00−A)及び(00−C)とプラズマとの間に実質的に等しいキャパシタンス結合が形成される。電圧分布(00−A)及び(00−C)は、分布曲線C−D及びA−B(図中点線で示す)にそれぞれつながり、実質的に全波長の電圧分布を形成する。なお、本実施態様においては、プラズマ放電管を囲む全波長誘導子(又は螺旋共振器)の選択位相/逆位相部が所望の配置で設けられる。
この実施態様においては、誘導部材とプラズマ源中のプラズマ放電との間の容量結合電流を減少または最小にするのが望ましい。容量電流は、単純に図2の点A及びCで発生する位相および逆位相のピーク電圧の差に応じて増大するため、この電圧差を減少させることにより、容量結合を小さくすることが出来る。図2では、例えば、2つの波形調整回路57、59を設けることによって容量結合の減少を達成できる。コイル55(又は放電源)を螺旋共振器によって構成し、また、波形調整回路57、59も螺旋共振器よって構成する。
放電源である螺旋共振器53は、従来の構成とすることが出来る。通常、螺旋共振器は、全波長螺旋共振器の位相部”x”(A〜00〜C)と等しい長さ(電気長)を有する。螺旋共振器から成る波形調整回路は、各々、全波長螺旋共振器の一部(2π−x)の長さを有する様に選択される。波形調整螺旋共振器の物理的パラメーターは、実際の物理的サイズ及びQ、Z0、その他の値を達成し得る様に選択するのがよい。特に、波形調整回路部の伝送線路パラメーター(Q、Z0等)の幾つか又は全部が、誘導アプリケータの伝送線路パラメーターと実質的に同一になる様に選択するのがよい。他方、誘導プラズマアプリケータ用螺旋共振器は、経済的サイズの装置および低Q値において所定の均一値の基板寸法が得られる様に構成し且つサイズ決定を行う。
波形調整回路は、外部に高周波電力を生起し、螺旋共振器などで用いる従来の技術に比べ、プラズマ源に付与する電力をより効率的に制御し且つ整合させることが出来る。一方、従来技術では、グランド位置より上方のコイル部に沿ってタップ位置を変更するか、または、グランドコイル端に対して固定タップ位置を選択し、従来の整合回路、例えば、LCネットワーク、πネットワーク等を利用してこの選択位置でインピーダンス整合を行うことにより、螺旋共振器に対する給電インピーダンスの整合または電力供給を行う。プラズマ源内部のコイルに沿ったこのタップ位置の変更は面倒な作業であり、通常、機械的設計が困難である。また、固定タップ及び外部整合回路の使用も同様に面倒であり、放電のQ値の予期しない変動を生じて動作モード及び安定性に影響を与える。これに対し、本実施態様では、波形調整回路がプラズマ源の外側に配置される(例えば、図2参照)。従って、タップ位置の機械的設計(例えば、タップ位置変更手段、電気的手段による有効高周波電力の供給位置の変更など)は、従来技術に比較して容易である。
本実施態様においては、高周波電力が下部波形調整回路59に供給される。また、高周波電力は、図示しないが上部波形調整回路に供給されてもよい。更に、図3で示された波形調整回路構成において、高周波電力は、誘導プラズマ結合アプリケータ(例えば、コイル等)に直接供給できる。また、他の適用例においては、図4で示す様に、単一の波形調整回路が使用される。電力は、この波形調整回路に供給されるか、または、タップの様な従来技術によってコイルに供給される。幾つかの実施態様において、コイルのタップは、グランド端部上方に配置される。更に、外部インピーダンス整合回路を電力と結合させることにより、例えば、従来の同軸ケーブルから波形調整回路とアプリケータの末端の間のインピーダンス(電流対電圧割当量)への充分な電力転送効率を得ることも出来る。
複数の誘導プラズマアプリケータが使用された更に他の実施態様を図5に示す。この実施態様においては、複数のプラズマアプリケータ(PA1,PA2・・・PAn)が設けられる。これらプラズマアプリケータは、誘導結合電力と各電圧電位(V1,V2・・・Vn)から供給される容量結合電力との所定の組み合わせを用いる。各プラズマアプリケータは、それぞれの電源(PS1,PS2・・・PSn)から直接適当な整合または結合ネットワークを通じ、又は上記の様に波形調整回路を介して電力を供給される。また、電力分割器およびインピーダンス整合ネットワークを用いた単一の電源が各(あるいは2つ以上の)プラズマアプリケータに結合できる。更にまた、少なくとも1つの電源が1つを越えるプラズマアプリケータによって共用される。各電源は、それぞれの波形調整回路(WAC1,WAC2・・・WACn)に接続される。
一般的に、各プラズマアプリケータは、上部波形調整回路(例えば、WAC1a,WAC2a・・・WACna)及び下部波形調整回路(例えば、WAC1b,WAC2b・・・WACnb)を有する。上部および下部波形調整回路を組み合わせて使用することにより、各プラズマ源領域のプラズマ源電位を調整し得る。また、各プラズマアプリケータに対して単一の波形調整回路を使用することも出来る。各波形調整回路は、実質的に同一のインピーダンス特性を有するが、また、実質的に別個のインピーダンス特性を有していてもよい。当然ながら、適用分野に応じて特定の構成を用いることが出来る。
例えば、多数のプラズマアプリケータを用い、種々の利用目的の選択領域に対して別個の励起周波数を供給することも出来る。これらの利用分野としては、プラズマ化学蒸着を用いた膜形成、イオンエッチング又は化学ドライエッチングによるエッチング等が挙げられる。また、プラズマ清浄は、多数のプラズマアプリケータを用いて行うことが出来る。具体的には、少なくとも一つのプラズマアプリケータが清浄用プラズマを形成する。一つの実施態様において、この清浄用プラズマは酸素含有種を有する。この清浄プラズマは、従来の誘電性容器に接するか又はこれを取り囲む空洞もしくは共振マイクロ波チャンバにマイクロ波電力を与えることにより維持される酸素放電によって形成できる。当然ながら、多数のプラズマアプリケータを用いてその他の種々の方法を実施できる。
多数のプラズマアプリケータを用いた本願の適用例では、従来の機械的分離手段(例えば、バッフル、分離処理チャンバ等)を用いることなく、マルチ領域(又はマルチチャンバ)にプラズマ源を形成できる。また、隣接領域またはチャンバ間の相互干渉は、波形調整回路による電圧・電位制御によって緩和できる。このプラズマ源は、各々、自己の制御手段およびプラズマアプリケータを備えた多数のプラズマ源チャンバに供せられる。従って、各プラズマアプリケータは、物理的領域(すなわちプラズマ源)に選択的なプラズマ特性(例えば、容量結合電流、誘導結合電流など)を付与することができる。これらの領域は、単独で使用されてもよいし、また、他の領域と組み合わせて使用されてもよい。当然ながら、適用分野に応じて特定の構成を使用できる。
本発明の実施態様において、波形調整回路は、種々の伝送線路、回路などの部材を適切に組み合わせて構成できる。これらの伝送線路としては、従来のソリッド又はエア絶縁同軸ケーブル、あるいは、通常の誘導子−コンデンサー型の伝送線路類似部材などが挙げられる。このタイプの伝送線路としては、同軸伝送線路、平衡並列伝送線路、螺旋内部導電体(例えば螺旋共振器の所定部分など)を有するいわゆる遅波伝送線路などが例示される。伝送線路の代わりに、抵抗器、コンデンサー及び誘導子(例えば整合器など)を集中、固定または調整可能に組み合わせて波形調整回路に使用できる。これら一般的な波形調整回路は、周波数に依存した特性を示し、周波数依存型波形調整回路(FDWACs)と呼ばれる。
周波数非依存型部材も同様に波形調整回路として使用できる。このタイプの波形調整回路は、周波数非依存型波形調整回路(FIWACs)と呼ばれる。周波数非依存型波形調整回路は、グランドへの短絡接続、無限インピーダンス等の縮退モードを有する。周波数非依存型波形調整回路は、単独で使用されてもよいし、また、周波数依存型波形調整回路と組み合わせて使用されてもよい。同様に、周波数依存型波形調整回路も単独で使用されてもよいし、他の波形調整回路と組み合わせて使用されてもよい。これらの構成は、適用分野に応じて種々の変更および修正が可能である。
波形調整回路の作用に関しては、図6に示す様に、種々の実施態様が例示される。波形調整回路は、プラズマアプリケータに印加される波長部を選択するために用いる。幾つかの実施態様では、実質的に等しい位相90、81及び逆位相91、82の有る容量結合部を誘導アプリケータに設けることにより、平均高周波プラズマ電位をグランド電位に近接した値に保持する。このようなケースとしては、倍波長の適用例92、全波長の適用例93、半波長の適用例、1/4波長の適用例などが挙げられる。
他の実施態様においては、グランド電位に対してプラズマ源電圧を高く保持することにより、基板(又は他のチャンバ各部)に向かう制御イオンプラズマ流(又はイオンアタック)を生起することが望ましい。これらの実施態様では、誘導プラズマアプリケータの位相部からの容量結合電流が逆位相部からの容量結合電流に比べて過剰となる様に、各波形調整回路に関して異なる電気長(electricallength)を選択する。これらの実施態様において、波形調整回路は、意図的に結合電圧の位相と逆位相を不均衡にする。例示した波形97では、零電位ノードを処理チャンバの軸方向に沿ってシフトさせることにより不均衡を生起させ、これによってプラズマ放電に対するバイアスを行っている。図示する様に、位相95は、その逆位相96に対し不均衡である。他の実施態様99では、一つの位相部84と補足位相部85とが周期を相違することによって不均衡になされている。他の実施態様では、プラズマ源電圧をグランド電位よりも低く設定される。位相および逆位相の間の不均衡が望ましい様な実施態様においては、スパッタ量(例えば、ウオールスパッタリング等)が減少するにつれて位相および逆位相間の電位差が減少するか又は最小となる様に設定される。一方、位相電位部と逆位相電位部の間の電位差が増大する場合には、そのスパッタ量が増大する(又は最大となる)様に設定することも出来る。この場合のスパッタリングとしては、例えば、石英ターゲットのスパッタリング、クリーニング等が挙げられる。当然ながら、適用分野に応じて作用は異なる。
キャパシタンス分布を有する誘導アプリケータ(例えば、螺旋共振器伝送線路など)では、電圧最小値の位置で電流最大値が得られる。特に、従来の1/4波長螺旋共振器の電流は、コイルのグランド端で相対的に最大値となり、周辺コイル部に離間するに従って小さくなる。従って、電力の部分的誘導結合が生じるとすれば、グランド端で起こる傾向がある。従来の半波長螺旋共振器では、誘導結合が2つのグランド端の各々で生じる傾向がある。
本発明では、実質的に非対称の位相および逆位相を有する誘導半波長アプリケータ及び他の部分波長アプリケータは、選択された高周波電圧ノード、例えば図2の符号00で表す部分で実質的により大きな誘導結合を生起する。この効果は、ノードの直上および直下の誘導子アプリケータ領域(又は部分)(rf電位の零点およびその近接位置における位相および逆位相部の誘導子部材に対応する)の高電流によって生起される。留意すべきは、従来の1/4波長および半波長の誘導アプリケータによって誘導される誘導結合が、コイルのグランド位置より下方で急激に降下することである。これは、グランド部でコイルが終端し且つ電圧極限値が存在するためである。上記の様に、一般的に、プラズマがコイル電圧からシールドされない限り、従来の1/4波長および半波長の螺旋共振器は、容量的モードで動作するか又はプラズマと容量結合する電力の実質部分で動作する傾向がある。
特定の実施態様において、電力系は、効率的な作動用回路部を有する。また、電力系は、高周波電源を有する。この高周波電源は、800kHz〜80MHzの範囲の選択された又は連続的に変化する周波数を発生する適当な高周波発生器から構成される。多くの発生器が本発明に適用可能である。工業的利用分野では、短絡および開路負荷条件下で電力損失なく動作し得る発生器を使用するのが好ましい。適当な発生器の一例としては、固定周波数型の高周波発生器電源CX−3000(コムデル社製(Comdel Inc)−マサチューセッツ州
バーバーリー、周波数:28.12MHz、出力:3KW)が挙げられる。また、IFIモデルTCCX3500高出力高帯域増幅器をヒューレットパッカードHP116A,0−50MHzパルス/機能発生器と共に駆動することにより、800kHz〜50MHzの周波数域で3kWの出力が可能な適当な可変周波数電源を提供できる。同様に、適用分野に応じて高出力または低出力が可能な他の発生器を用いることが出来る。
発生器からの電力は、従来の同軸ケーブル伝送線路によってプラズマ源に伝送される。この伝送線路の例としては、”TEFLON(登録商標)”同軸絶縁シースを有するRGU8/Uケーブル及び他の高温定格ケーブル(例えば、RG1151U等)が挙げられる。本発明の幾つかの実施態様では、螺旋コイル上に可動タップを配置してこのタップとグランド間に電源を接続することにより、従来の端部グランドの半波長螺旋共振器に電力を供給する構成が提供される。本発明の他の実施態様では、同軸ケーブル電源と螺旋コイルのタップ間に整合器を導入することにより、フレキシビリテイを持たせた構成が提供される。使用される整合器は、選択波形および波形調整回路に応じて選ばれる。平衡半波長螺旋共振器を用いる実施態様においては、例えば、実質的に零サセプタンスを有する波形調整回路に共振器コイル端部が接続される。特に、波形調整回路は、コイル端を電気的に非接続状態とするか又は電気的に等価の状態に設定することにより開路として構成される。また、チョーク直列抵抗によってコイル端を非グランドとされ、これによって固定基準電位に直流(DC)接続される。これらタイプの波形調整回路は、周波数非依存型であり、縮退モードを有する。これらの実施態様では、高周波電力は、位相および逆位相電流がコイルの中点(電気的中点:electrical midpoint)の上下を流れる様に供給される。これにより、電源から実質的に平衡した位相および逆位相電流が供給され、コイル中点上のコイル電圧に関して所望の安定した動作が得られると共に、また、実質的に等しい位相および逆位相電圧が生起される。
上記の実施態様は、また、他のプラズマ処理応用分野、例えば、PECVD、プラズマ浸漬形イオン注入(PIII)、ストリッピング、スパッタリング等に適用できる。例えば、図7は、本発明によるCVD装置100の概略図を示す。本発明のCVD装置は、チャンバ112、供給源114、排出孔116、ウェーハステージ118、電源122、グランド124、螺旋共振器126及びその他の部材から成る。螺旋共振器126は、コイル132、外側シールド133及びその他の部材から成る。チャンバは、蒸着のためのウエハー等の製品を収容可能で且つ内部にプラズマ放電を誘導し得るものであれば如何なるチャンバも使用可能である。好ましくは、チャンバとしては、製品上に均一なプラズマ種の分布を形成する円柱状チャンバが使用される。チャンバは、矩形柱状、角錐台形状などの他の形状を備えていてもよい。チャンバ及びその固定部材は、アルミニウム、石英及び他の適当な材料から構成される。プラズマ放電はプラズマ源から誘導され、好ましくは、波形調整回路または他の技術によって選択的に位相−逆位相電位を調整した螺旋共振器放電または他の誘導放電が用いられる。本発明のCVD装置は、誘電材料、例えば、二酸化珪素などの蒸着に使用される。
上面130を有する製品119は、蒸着、例えば、プラズマエンハンスト化学蒸着(PECVD)等を行うために本発明のCVD装置に装填される。蒸着材料の例としては、特に、二酸化珪素(SiO2)、リン珪酸ガラス(PSG)、ほうリン珪酸ガラス(BPSG)、窒化珪素(Si3N4)が挙げられる。
本発明に従った一つの実施態様では、有機シラン、酸素およびへリウム又はアルゴン等の不活性ガスからなる混合物をCVD装置に導入して蒸着を行う。有機シランとしては、TEOS、HMDS、OMCTS等の適宜な有機シランが例示される。本発明の他の例においても同様に蒸着が行われる。酸素は、毎分約1リットル以下の流量で導入される。TEOS等の有機シランと酸素の流量比は、約1:40〜2:1の範囲、適用分野によっては約2:1以下が好ましい。有機シラン/酸素層の蒸着温度は、約300〜500℃の範囲であるが、斯かる範囲外の温度であってもよい。蒸着圧は、通常、1〜7Torrの圧力とされる。当然ながら、適用分野に応じてその他の濃度、温度、材料および流量が選ばれる。
また、このCVD容器には波形調整回路127が設けられる。波形調整回路は127は、位相および逆位相電圧と容量結合して動作する螺旋コイルを有する。波形調整回路127のコイル部分は、シールド140によって囲まれ、発生する高周波がプラズマ放電または外部エレメント、例えば装置、電源などと干渉するのを防止する。コイルシールド140は、銅、アルミニウム等の導電性材料から成る。一つの実施態様では、動作周波数が選択されると共に、波形調整回路は螺旋アプリケータコイルの上端が接地状態となる様に調整される。これにより、略倍波長(全波長の整数倍波長)で動作し、実質的に等しい位相および逆位相部を有する螺旋コイルが構成される。この倍波長動作により、プラズマ源に近接配置されたコイル132に沿って位相151及び逆位相153の電圧の平衡キャパシタンスが得られる。そして、プラズマ源からグランド電位またはこれに近接した電位を有するチャンバ各部(例えば、ウェーハステージ、壁、ウエハー等)に生起される容量結合の電力量を減少または実質的に除去できる。
本発明の実施態様において、波形調整回路127は、外側シールド133の外方に配置された螺旋伝送線路の可変コイル部128を有する。従って、波形調整回路が短絡状態に調整された場合は、全瞬時AC電圧134、135の実質的に等しい位相151及び逆位相153を有する倍波長のみがプラズマ源と作用する。この実施態様では、蒸着酸化物膜に好ましくない張力性の応力が発生する場合がある。
また、波形調整回路127は、全波長の倍数型でない位相および逆位相電圧で動作する螺旋共振器から構成することも出来る。この波形調整回路は、プラズマ源とチャンバ各部間に選択量の容量結合を形成する。この実施態様では、蒸着酸化物膜に発生する応力を零または僅かに圧縮性の応力とすることが出来る。幾つかの実施態様では、酸化物膜の水分吸収を防止するため、プラズマ源と基板間に数百ボルトの高周波プラズマ電位を印加して酸化物膜を蒸着形成する。この場合、波形調整回路がプラズマ源の外側に配置された伝送線路の小領域に作用する様に調整し、これに対応してアプリケーターコイルを短くする(すなわち、アプリケータコイルを短絡させる下端点を移動し、これによってアプリケータコイルのインダクタンスを減少させ且つ螺旋共振器126(例えば、螺旋伝送線路など)の電気長を減少させる)。当然ながら、適用分野に応じて容量結合の選択量は変化させ得る。
図8は、本発明によるレジストストリッピング装置の概略図である。本発明のストリッピング装置は、上述したCVD装置と類似の構成部材から成る。すなわち、本発明のストリッピング装置は、処理チャンバ112、供給源114、排出孔116、ウェーハステージ118、高周波電源122、グランド124、螺旋共振器126等から成る。螺旋共振器126は、コイル132、外側シールド133、波形調整回路400等から成る。処理チャンバとしては、フォトレジスト被覆ウエハー等の製品119を収容し且つ内部でプラズマ放電が可能であれば如何なるチャンバを使用してもよい。プラズマ放電はプラズマ源から誘導される。プラズマ放電はプラズマ源から誘導され、好ましくは、波形調整回路または他の技術によって選択的に位相−逆位相電位を調整した螺旋共振器放電または他の誘導放電が用いられる。本発明のストリッピング装置は、フォトレジスト、例えば、インプラ工程によって硬化したレジストなどのストリッピング又はアッシングに使用される。更に他のストリッピング装置の例としては、後述する実験例に記載の装置が挙げられる。
この実施態様で使用する波形調整回路は、開路(すなわち、零サセプタンス)によって構成される。電力転送は、結合誘導子などの誘導結合プッシュプル構成などを用いた平衡供給によって行われる。これら結合誘導子の作製技術は、例えば、R.D.Straw 著「The ARRL Antenna Book」(The American Radio DelayLeague 編、Newington,CT(1994))やW.I.Orr著「The Radio Handbook」(Engineering Ltd編、Indiana(1962))に記載されている。一実施態様においては、フェライト又は粉末鉄芯から成る”平衡−非平衡”トロイダル変成器(すなわち、高帯域伝送変成器、高帯域変成器など)401が従来の非平衡同軸伝送線路からの整合のために使用される。この平衡−非平衡トロイダル変成器の作製技術としては、例えば、J.Sevick著「伝送線路変成器(Transmission Line Transformer)」(American Radio Delay League 編、第2版、Newington,CT(1990))に記載される。トロイダル変成器は、高周波電源122とコイル132間に結合される。コイル上の位相(405)電圧と逆位相(404)電圧との中点406は、高周波電源を通じて接地される。また、他の実施態様において、安定化にのため、誘導アプリケータをこの中点で直接接地することも出来る。この構成によって交互作用が可能になり、コイル中央の一方側にタップが設けられた従来の非平衡フィードラインを有する誘導アプリケータ(例えば、コイル等)に電力供給できる。プッシュプル平衡結合は、従来の非平衡コイルタップ整合を用いたものに比べ、より簡単にプラズマを励起でき、かつ、通常、所定の利用目的に容易に適合できる。
実質的に等しい位相および逆位相電位で動作する螺旋共振器を用いる実施態様に関し、その等価回路構成の概略図200を図9に示す。この回路構成は、単なる例示であり、本発明の請求の範囲を限定するものではない。この等価回路構成は、例えば、単一の電源を構成する複数の高周波給電部(V1、V2、V3・・・Vn)203を有する。これらの給電部は互いに並列接続される。給電部の一端はグランド201に接続される。給電部の他端は、各コンデンサー(C1、C2、C3・・・Cn)205に接続される。これらのコンデンサーは互いに並列に接続される。この作用モードにおいては、コンデンサーの並列接続によってコンデンサー間に実質的に電位差を生じない。
図10においては、誘導アプリケータの両端が短絡された場合の図9の螺旋共振器に沿った瞬時AC電圧の概略分布図を示す。この図は単なる例示であり、本願の請求の範囲を限定するものではない。図では、内部に誘導プラズマ放電(又はプラズマ源)501が誘導された放電管213が示されている。図示される様に、プラズマ放電は、放電管213の限定領域(R)を占有する”ドーナツ型”強化グロー放電域501を有する。プラズマ放電は、グランド電位(VG)と高電圧電位(VH)間に実質的に零ボルトである平均電圧電位(Vabe)を有する。明らかな様に、プラズマ放電501は、VHとVGの各々に対応する容量結合部を有する。しかしながら、このプラズマ放電の平均電圧電位は零である。この作用により、プラズマに隣接したコイルに沿って位相503及び逆位相505の電圧の平衡キャパシタンスが形成され、プラズマ源からチャンバ各部への容量結合を実質的に防止する。同様に図示する様に、電流最大値507は位相503と逆位相505間の変曲点に相当する点(Vabe)に存在する。
別の作用モードでは、図11に示す様に、誘導結合プラズマ電流によって誘導アプリケータの上端および下端部近辺に形成されたプラズマの希薄なリングを観察できる。この作用モードは、通常、高電力で作用する全波長(517)の誘導結合コイルに使用される。例えば、誘導アプリケータに入力される最大電力は、熱的条件および熱的絶縁破壊によって制限される。プラズマ放電内の電流リング513、515は、コイル上部および下部短絡端の電圧最小点に対応する最大コイル電流域で見られる。これらの高電力条件では、下位準位の電流リングが検出可能であり、場合によってはある種の励起状態がその中間域で見られる。この励起状態は、部分的には誘導アプリケータに沿った電圧が最大で電流が最小の位置における放電内の容量的駆動電流によって生起する。
また、共振器の上端および下端での下位準位の誘導プラズマ電流リング513は、制限された入力電力の下では現れない。コイル電流および誘導磁束は誘導アプリケータの端部外に離散し、その結果、仮に、プラズマが特定の入力電力の下で単一の電流リングを保持するのに充分な導電性を有するならば、中央部にただ一つの誘導リング515が一層安定して存在する。
高電力動作の他の適用例では、二次的プラズマ電流リングの存在が好ましくない場合がある。この適用例においては、実質的に最小の内部容量結合が存在する。この場合、真空容器に接する誘導アプリケータ(例えば、コイル)の長さを全波長から適当な長さまで短縮し、プラズマ源と接するコイル中央に唯一の電流最大値を存在させると共に、アプリケータ上の最大および最小電圧間の電位差を実質的に減少させる様になされる。この適用例の構成は、適当なインピーダンス波形調整回路を用い、アプリケータに沿って所望の波形を安定化させることによって達成される。
本発明による上記の実施態様は、誘導アプリケータの位相部に基因したプラズマ電位が、誘導アプリケータの選択部の逆位相に基因する容量結合によって実質的に相殺されない誘導結合プラズマによる処理に関するものである。しかしながら、従来の誘導源(例えば、従来の螺旋共振器など)は、1/4波長モード又は半波長モードで動作する。これらのモードでは、プラズマと位相部との容量結合のみが存在するため、プラズマ電位は、逆位相結合の補償がなくコイルに向かって上昇する。通常、半波長以上の従来の誘導源は、プラズマ反応器としては取り扱いが困難で実用的ではないと考えられる。特に、これらの誘導源は、大型で且つ不均一なプラズマを励起する複数のノードを螺旋コイルに沿って有している。螺旋共振器内で実質的な誘導プラズマを作用させためには、従来の誘導源の場合、コイルに基因する電界からプラズマ放電管をシールドしなければならない。このシールドは、例えば、コイルとプラズマ管の間に縦分割されたシールド体を介在させることによって行われる。
本発明においては、実質的に純粋な誘導結合電源を提供する。プラズマ励起および維持のための主たる手段として誘導結合電力を用いる利点は、誘導結合によって生じる電界線が管状(ソレノイダル)である点にある(すなわち、それら電界線がそれ自身で閉路していると言うことである)。管状電界線は、零発散を示すため、プラズマ容積内にスカラーポテンシャル場(例えば、電位差)を生起または保持しない。この様な技術において、誘導電力は、プラズマ電位と誘導結合部材の電圧(例えば、螺旋共振器のコイル上の電圧)又は使用されるならば高周波整合器の電圧との間に直接的な関与なしにプラズマに転移される。更に、電力をこのような純粋誘導結合手段によってプラズマに転移する場合、その電力転移に際しては、プラズマとグランド電位間に大きな電位差を保持する必要はない(例えば、プラズマとグランド間の電位差は、誘導性励起電力とは実質的に無関係な要因によって固定できる)。理論的には、誘導結合性の電力転移に際し、グランドに対してプラズマの交流または直流電位を増加させる必要はない。しかしながら、実際には、非シールド電流型の誘導結合電力源では、プラズマ電位に実質的なシフト及び有害な変動が生じる。
上記の様に、また以下で更に述べる様に、従来の市販の誘導源におけるプラズマ電位のシフトに対する殆どの防止方法は、誘導結合部材とプラズマ放電管との間にグランド導電性部材を介装することにより、誘導結合部材(通常多重巻回コイル)の電界からプラズマをシールドするものである。しかしながら、このシールドは、実際には、取り扱いが困難かつ不便であり、重大な欠点を有する。シールドは、誘導アプリケータ部材と結合し、従来の設計では予想し得ない固有共振周波数において広範囲の変位(エクスカーション)を引き起こす。このため、所望の共振を達成するには、労力のいる試行錯誤そして多数の機械的手段を必要とする。このシールドの他の欠点は、通常はシールドによってプラズマ放電管中の容量性電場が排除されるためにプラズマの初期励起が困難であるという点にある。特に、誘導性ブレイクダウン(プラズマブレイクダウンとして知られている)の点火は、低電流および低電力で安定した容量性電界放電によって一般的に開始される(例えば、J.Amorim 、H.S.Marcial及びJ.P.Sudana著 「J.Vac.Sci.Technol.」,B9,362−365頁(1991)参照)。その結果、シールドは、プラズマの点火を誘導する容量性電界をブロックする傾向がある。
また、ネットワーク内の高電圧RF点(螺旋共振器またはTCPコイルの高電位被駆動側での電圧最大点など)に近接してシールドを挿入した場合、シールドとコイル間のキャパシタンスを通じて大きな偏位電流が流れる。このような高電位差においては、エアギャプ内で高周波ブレイクダウンと言う不具合を生じるため、エアギャプは取り扱い難い固体または液体誘電絶縁層によって保護されなければならない。偏位電流は電力損失を生起し、この電力損失を補うために更に高電力の高周波発生器が必要となる。また、プラズマ源の結合電力の損失は、熱的制御の点からも不都合である。これらの制限は、波形調整回路、位相長を有する誘導アプリケータ等を用いた本発明によって解消される。
本発明の原理を実証しかつその動作を説明するために、螺旋共振器プラズマ源をフォトレジストストリッピング装置に適用した。また、本実験例では、従来タイプの螺旋共振器に関する評価も行った。これら実験例は単なる例示であり、本願の請求の範囲を限定するものではない。請求の範囲で限定される本願発明において、他の実験法、使用、変更、改良が可能であることは当業者によって容易に理解されるであろう。
I.従来型フォトレジストストリッピング装置:
この実験例では、従来型のレジストストリッピング装置として、本願譲受人であるMC Electronics社製のプロトタイプを用いた。当然ながら、適用目的に応じて他のストリッピング装置を使用することが可能である。図12で示す様に、従来型の1/4波長螺旋共振器レジストストリッパー600には、処理チャンバ604の上流側に1/4波長螺旋共振器プラズマ源602を設けた。この1/4波長螺旋共振器プラズマ源602は、コイル608及びその他の部材から構成されている。
コイル608は、直径0.4インチの銅製チューブを巻数5.15、ピッチ0.5(巻数/インチ)及び平均半径6.4インチで巻成し、外側銅シールド610の内側に径方向および縦方向でセンタリング配置した。コイル608は、電源612に接続し、約13MHzの高周波で動作させた。長さ17インチ及び直径9.25インチの石英管606を銅コイル608の内側中央に配置した。シールド610は、内径16インチ、厚さ約0.08インチ及び長さ18インチのものを使用した。このシールド610は、アルミニウム製処理チャンバ本体のグランド(VG)部に接続した(但し、後述する電流測定時を除く)。
処理チャンバ604は、従来型のレジストストリパー用のものを使用した。処理チャンバ604には、ウエハーサポート(又はウェーハステージ)616及びその他の部材を配置した。処理チャンバ604は、シールド610を介して外側位置620で接地した。ウエハーサポート616上にはウエハー618を載せた。
ウエハー618としては、三菱化成社製ポジテイブフォトレジストMPR−4000を約1.25ミクロン厚にスピンコート塗布した6インチ(250mm)<100>ウエハーを用いた。このウエハーは、直径10インチのグランドウエハーサポート616上でアッシング処理した。その際、ウエハーサポートは、抵抗加熱し、熱電対によって温度を測定した。
螺旋共振器プラズマを励起すると、全ての処理条件において石英管が可視プラズマによって充満された。また、処理室下流側のウエハー上で常に強いプラズマグローが観察された。これはウエハーサポートに対して二次プラズマ放電が生じたことを示す。この二次プラズマ放電は、共振器シールドから処理チャンバに向かう約5〜10アンペアrms(場合によってはそれ以上)の電流を伴っていた。電流は、絶縁ブロック上にシールドを上昇させ、電流モニターとしてのピアソン電流計に取り付けた長さ2インチ、幅1.5インチの帯状銅編組線を通じて流れる電流を測定した。
図13は、図12で用いた1/4波長螺旋共振器のコイルに沿った高周波電圧分布の概略図700である。図には、石英管606及びその内部のプラズマ放電(又はプラズマ源)701が示されている。図示する様に、プラズマ放電701は、石英管606の大きな範囲(R)を占めるグロー領域を有する。プラズマ放電は、グランド電位(VG)と高電圧電位(VH)との平均電圧電位(Vave)を有する。明らかな様に、プラズマ放電701は、その平均電圧電位Vaveに基因するVH及びVGに対応する容量結合部を有する。実際、前述した様に、共振器シールドから処理チャンバに向かい少なくとも5〜10アンペアrmsの電流が流れた。高電力条件では、容量結合プラズマ源から処理チャンバに向かう強いスパークが観測された。
II.本発明のフォトレジストストリッパー:
本発明の原理および動作を実証するために、以下の実験を行った。これらの実験においては、フォトレジストストリッパー装置を使用した。このクラスターツール状配置のレジストストリッパー装置に本発明に係る螺旋共振器を搭載した。しかしながら、当業者には、他の手段、改良および変更が可能であることが理解されるであろう。従って、以下の実験例は本願請求の範囲を限定するものではない。
図14及び図15に示す様に、フォトレジストストリッパー装置内には、クラスターツール状に複数の処理チャンバを配置した。図14及び図15はそれぞれ概略上面図800及び概略側面図を示す。ここでは、2つの処理チャンバ、例えば、第一チャンバ901と第二チャンバ903が設けられた。第一チャンバ901は、イオン注入硬化レジスト(又はスキン)を除去(ストリップ)するのに用いた。第二チャンバ903は、残りのフォトレジストを除去(ストリップ)するのに用いた。また、両チャンバをイオン注入硬化レジストおよび残りのフォトレジストの両方を除去(ストリップ)するのに用いることも出来る。当然ながら、これらチャンバは、適用目的に応じて特定の用法で使用される。これらのチャンバは、セラミック挿入体を有するアルミニウムによっても作製でき、高い耐薬品性を備えている。
また、上記の装置においては、工程動作を監視するため、マイコン制御式コントローラを使用した。このマイコン制御式コントローラは、コントロールパネル921により操作可能である。本実験例の装置では、カラーLCDタッチパネルデイスプレイを備えたエプソン社製486DXプロセッサーPC搭載コントローラを用いた。また、このコントローラは、シールドされており且つ高い耐薬品性を備えている。
また、上記ストリッパー装置には、自動ウエハー処理システム910を設けた。自動ウエハー処理システムにおいては、規格のカセット912を使用し、フォトレジスト塗布ウエハーを処理チャンバ901、903に対して搬入および搬出した。自動ウエハー処理システム910は、ロボット917、第一カセットチャンバ905、第二カセットチャンバ907、第一カセットステージ909、第二カセットステージ911等から構成した。さらに、自動ウエハー処理システム910においては、従来のインターロックシステムを使用し、クリーンルームから処理チャンバ901、903にウェーハを供給した。主シャトルチャンバ913には、ロボット917がクラスターツール状配置で収容されていた。自動ウエハー処理システム910の動作はコントローラによって監視した。本実験例では、JEL社(日本)の自動ウエハー処理システムを使用した。
ロボット917を収容した主シャトルチャンバ913には冷却板915を配置した。冷却板915は、従来タイプのものであり、高温下で行われるストリッピング工程後にウエハーを冷却するために使用した。また、冷却板は、所定の工程動作の前後またはその間にウエハー温度を熱的に調整するためにも使用することが出来る。
処理チャンバ901、903は、各プラズマ源923、925に対して下流側に配置した。各螺旋共振器は、石英管929の周囲に配置されたコイル927によって構成した。コイルは、直径0.4インチの銅製チューブを巻数11.5、ピッチ0.9(巻数/インチ)及び平均半径9.4インチで巻成し、外側銅シールド931の内側に径方向および縦方向でセンタリング配置した。コイルは、電源(図示せず)に動作可能に接続した。長さ17インチ、直径9.25インチの石英管を銅製コイルの内側にセンター合せして配置した。上記シールド931は、内径16インチ、厚さ約0.08インチ、長さ18インチのものを使用し、コイルの下部に接続した。
一つの実験例では、本発明の処理方法を用いてウエハーからフォトレジストをストリップした(図15の933参照)。これらの処理方法では、多段ストリッピング工程を用い、半導体ウエハーからイオン注入フォトレジストを除去した。先ず、8インチウエハーによってサンプルを作製した。次いで、これらのウエハーは、三菱化成社ポジテイブフォトレジストMPR−4000によりスピンコートした。スピンコートは、1,200rpm、120℃で90秒間行った。生成したフォトレジストは、サンプルウエハー上で約1.2ミクロンの厚さを備えていた。これらサンプルウエハーにイオン注入を行い、フォトレジスト上面付近にイオン注入硬化レジストを形成した。
上面に形成されたイオン注入硬化レジストを注入レジストストリッピングプロセスを用いて除去した。上記プロセスでは、半波長螺旋共振器の”非平衡”の位相および逆位相の結合関係が用いられた。半波長螺旋共振器は、処理チャンバの一つに配置した。この処理チャンバでは、ウエハーサポートの温度を40℃に保持し、ウエハーを低温に保持した。ウエハーを低温に保持することによってポッピング現象の発生を抑制した。なお、ポッピング現象とは、下層レジスト中の気体が上層の注入硬化レジストを通じて膨発する現象である。
上面の硬化層を除去した後、ウエハーは倍波長で動作するチャンバ内に移された。このチャンバは、1波長の整数倍として約27.12MHzで動作させた。チャンバ内のウエハーサポートは150〜200℃に保持した。この全波長動作構造は、平衡位相および逆位相の結合電流の生成に利用され、これにより、ウエハーの下層に配置された基板にダメージを与える容量結合プラズマ量を減少させた。この工程では、上層部のアッシング処理を行い、ウエハーから実質的に全てのフォトレジストを除去した。上層アッシングの間、下層基板には全く損傷はなかった。
フォトレジストをストリッピングにより除去した後、即座にウエハーを冷却した。具体的には、ウエハーを1波長の整数倍で動作する処理チャンバから取り出して冷却ステーション上に移した。冷却ステーションでは加熱ウエハーの温度を降下させた。次いで、ウエハーはウエハーカセットに再装填された。カセット内の全ウエハーを処理した後、被処理ウエハーを収容したカセットは、クラスターツール状に配置されたストリッピング装置から取り出された。装置内に設けた半波長共振器の特性は上記に詳述した通りである。
本実験例においては、更に以下のテストを行った。
テスト1:
毎分0.2標準リットルの流量の純酸素ガス流下において、励起周波数13.4MHz、順方向高周波電力2200ワット及び反射電力150ワット、全圧0.13トールの条件下に6インチウエハーをアッシング処理した。60℃に基板を保持しながらウエハーをアッシング処理し、次いで放電を停止した。ウエハーのアッシング率は、ウエハーの中心で最大アッシング率が得られ、その中心から周りに向かって略対称に毎分約3411〜3139Åの範囲で変化する様に設定した。平均エッチング率は毎分3228Åであり、エッチング均一性は約4%であった。
テスト2:
毎分1基準リットルのガス流下において、励起周波数13.0MHz、順方向高周波電力2200ワット及び反射電力160ワット、全圧1トールの条件下で6インチウエハーをアッシング処理した。60℃に基板を保持し、ウエハー上の位置に応じてアッシング率を毎分約3144〜3748Åの範囲で変化する様に設定した。エッチング均一性は約9%であった。
テスト3:
レジストを塗布したウエハーに対し、40Kevにおける5×1015原子/cm2の投入量でヒ素(As)を注入した。ウエハーは、約3cm2のサンプルに分割した。2つのサンプルを、表1に示す種々の条件下にウエハーサポート上でアッシング処理した。
注:*(slm)=標準リットル/毎分(又は1000sccm)
(I)=このテストは非注入レジストを用いて行い、アッシング処理は、エッチング均一性を評価するために終了時点に達する前に停止した。平均アッシング率は毎分5259Åであり、エッチング均一性は7.5%であった。
(II)=非注入レジストを150秒間エッチングしたが、100秒経過時点で終結した。
供試サンプルDにおいて、レジストは、全ウエハーから3分15秒後に除去されたことが確認された。従って、表中のアッシング時間では約100秒の過剰エッチングであった。実用的アッシング率が得られた条件下では、可視プラズマ放電およびシースがウエハー上で確認された。
従来のストリッピング装置で採用したのと同様の方法で電流測定を行った。これらの測定においては、共振器のシールドから処理チャンバに向かって約0.1〜0.5アンペアrms以下の電流が確認された。これら測定では、公知の計測法によって誤差調整を行った。従って、得られた測定電流は、少なくとも従来のストリッピング装置で測定された電流未満の値であった。
ウエハーを目視により観察したところ、極めて良好な結果を示していた。すなわち、ウエハーは、製造操作に充分利用し得る除去率でストリップ処理され、ウエハーの損傷は実質的に存在しなかった。このことは、上記処理が効果的な一巡時間で行われ、プラズマによって実質的なウエハー損傷が生じないことを示している。また、シールドと処理チャンバ間の電流は、シールドを絶縁ブロック上に持ち上げて測定したところ、約0.5アンペアrms未満であり、場合によって公知の計測法を使用した測定誤差に等しいか又はこれ以下であった。この電流は、実質的に従来のストリッピング装置で測定された電流未満であった。
以上、本発明を特定の実施態様に関して説明したが、本発明では更に種々の代替、改良および等価物による置換が可能である。事実、本発明は、殆どのタイプのプラズマ放電装置に適用可能である。この様な放電装置としては、プラズマ浸漬イオン注入装置、成長ダイアモンド製造装置、TCP装置などが挙げられる。これらの放電装置は、平坦パネル型デイスプレイ、デスク、集積回路、ダイアモンド、半導体、べアリング、その他の原料の製造に使用できる。上述の記載は、特許請求の範囲に記載された本発明の範囲を限定するものではない。
本発明に係るプラズマエッチング装置の模式図である。 波形調整回路を使用した本発明の模式的な構成図である。 波形調整回路を使用した本発明の模式的な構成図である。 波形調整回路を使用した本発明の模式的な構成図である。 波形調整回路を使用した本発明の模式的な構成図である。 波形調整回路を使用した本発明の模式的な構成図である。 本発明に係る化学蒸着装置の模式図である。 本発明に係るストリッパー装置の模式図である。 本発明に係る螺旋共振器の模式図である。 本発明に係る螺旋共振器の模式図である。 本発明に係る螺旋共振器の模式図である。 浮遊プラズマを生じる従来の1/4波長螺旋共振器プラズマエッチング装置を示す図である。 図12で示す装置のコイルに沿った高周波電圧分布の概略図である。 本発明の実施態様に係るストリッピング装置の概略の上面図である。 本発明の実施態様に係るストリッピング装置の概略の側面図である。
10:プラズマエッチング装置,12:チャンバ,16:排気孔,17:ガス分配器,
18:ウェーハステージ,
20:誘導アプリケータ,21:多重全波長,22:電源,23:選択位相,
24:波形調整回路,25:ドーム,26:内側面,27:逆位相,
29:波形調整回路,
32:フォーカスリング,35:ウェーハステージ用高周波電源,36:コントローラ,
52:放電管,53:螺旋共振器,54:外部シールド,
55:誘導アプリケータ(コイル),57:波形調整回路,59:波形調整回路,
60:プラズマ源領域,61:高周波電源,66:シールド,67:伝送線路部,
68:シールド,69:伝送線路部,
70:位相,71:逆位相,
81:位相,82:逆位相,84:位相部,85:補足位相部,
90:位相,91:逆位相,95:位相,96:逆位相,97:波形,
100:CVD装置,112:処理チャンバ,114:供給源,116:排出孔,
118:ウェーハステージ,119:製品,122:高周波電源,124:グランド,
126:螺旋共振器,127:波形調整回路,128:可変コイル部,132:コイル,
133:外側シールド,130:上面,140:シールド,151:位相,
153:逆位相,
201:グランド,203:高周波給電部,205:コンデンサー,213:放電管,
400:波形調整回路,401:トロイダル変成器,
501:誘導プラズマ放電,503:位相,505:逆位相,507:電流最大値,
513:電流リング,515:電流リング,
600:螺旋共振器レジストストリッパー,602:螺旋共振器プラズマ源,
604:処理チャンバ,606:石英管,608:コイル,610:シールド,
612:電源,616:ウエハーサポート,618:ウエハー,
701:プラズマ放電,
901:処理チャンバ,903:処理チャンバ,905:第一カセットチャンバ,
907:第二カセットチャンバ,909:第一カセットステージ,
910:自動ウエハー処理システム,911:第二カセットステージ,
912:カセット,913:主シャトルチャンバ,915:冷却板,917:ロボット,
921:コントロールパネル,923:プラズマ源,925:プラズマ源,
927:コイル,929:石英管,931:外側銅シールド,



Claims (4)

  1. 基板を物質により処理する半導体素子の製造装置であって、高周波電場を誘導する誘導結合構造が備えられ、当該誘導結合構造は、誘導アプリケータと波形調整回路とからなり、かつ、当該波形調整回路は、前記誘導アプリケータと直列に配置されて、前記誘導結合構造の電気的長さは整数倍以外の倍数の波長となるよう構成されるていることを特徴とする半導体素子の製造装置。
  2. 前記誘導結合構造は、電気的長さが1/4波長の整数倍である請求項1記載の半導体素子の製造装置。
  3. 前記波形調整回路は接地状態となるよう構成される請求項1乃至2記載の半導体素子の製造装置。
  4. 基板を物質により処理する工程から成る半導体素子の製造方法であって、
    高周波電場を誘導する誘導結合構造が備えられ、当該誘導結合構造は、誘導アプリケータと波形調整回路とからなり、かつ、当該波形調整回路は、前記誘導アプリケータと直列に配置されて、前記誘導結合構造の電気的長さは整数倍以外の倍数の波長となるよう構成された、基板を物質により処理する半導体素子の製造装置を用いて、前記誘導アプリケータからチャンバ部材に向かって流れる容量電流を前記波形調整回路によって位相部および逆位相部が相殺しあうように制御することを特徴とする半導体素子の製造方法。
JP2009246591A 1995-12-04 2009-10-27 半導体素子の製造装置及び半導体素子の製造方法 Expired - Lifetime JP4542198B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US56722495A 1995-12-04 1995-12-04

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2006344574A Division JP2007142444A (ja) 1995-12-04 2006-12-21 発生させたプラズマ間の容量電流における位相部と逆位相部が平衡する誘導構造によって励起される高周波プラズマ処理方法

Publications (2)

Publication Number Publication Date
JP2010021590A JP2010021590A (ja) 2010-01-28
JP4542198B2 true JP4542198B2 (ja) 2010-09-08

Family

ID=24266258

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2006344574A Withdrawn JP2007142444A (ja) 1995-12-04 2006-12-21 発生させたプラズマ間の容量電流における位相部と逆位相部が平衡する誘導構造によって励起される高周波プラズマ処理方法
JP2009246591A Expired - Lifetime JP4542198B2 (ja) 1995-12-04 2009-10-27 半導体素子の製造装置及び半導体素子の製造方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2006344574A Withdrawn JP2007142444A (ja) 1995-12-04 2006-12-21 発生させたプラズマ間の容量電流における位相部と逆位相部が平衡する誘導構造によって励起される高周波プラズマ処理方法

Country Status (7)

Country Link
US (2) US5965034A (ja)
EP (2) EP0865716B1 (ja)
JP (2) JP2007142444A (ja)
KR (1) KR100663874B1 (ja)
DE (1) DE69607200T2 (ja)
HK (1) HK1010633A1 (ja)
WO (2) WO1997021332A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10184178B2 (en) 2015-03-27 2019-01-22 Samsung Electronics Co., Ltd. Plasma-enhanced chemical vapor deposition (PE-CVD) apparatus and method of operating the same

Families Citing this family (101)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231776B1 (en) * 1995-12-04 2001-05-15 Daniel L. Flamm Multi-temperature processing
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
US7569790B2 (en) 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
GB9714142D0 (en) * 1997-07-05 1997-09-10 Surface Tech Sys Ltd An arrangement for the feeding of RF power to one or more antennae
WO1999006110A1 (en) * 1997-07-29 1999-02-11 Silicon Genesis Corporation Cluster tool method and apparatus using plasma immersion ion implantation
EP1209721B1 (en) * 1997-10-10 2007-12-05 European Community Inductive type plasma processing chamber
JPH11162697A (ja) * 1997-11-28 1999-06-18 Mc Electronics Kk プラズマ生成用の螺旋共振装置
US6155199A (en) * 1998-03-31 2000-12-05 Lam Research Corporation Parallel-antenna transformer-coupled plasma generation system
JP3126698B2 (ja) * 1998-06-02 2001-01-22 富士通株式会社 スパッタ成膜方法、スパッタ成膜装置及び半導体装置の製造方法
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
DE19900179C1 (de) * 1999-01-07 2000-02-24 Bosch Gmbh Robert Plasmaätzanlage
JP2000315598A (ja) * 1999-03-03 2000-11-14 Anelva Corp プラズマ処理装置
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
KR100338057B1 (ko) * 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6458430B1 (en) * 1999-12-22 2002-10-01 Axcelis Technologies, Inc. Pretreatment process for plasma immersion ion implantation
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US6409932B2 (en) * 2000-04-03 2002-06-25 Matrix Integrated Systems, Inc. Method and apparatus for increased workpiece throughput
ES2336303T3 (es) * 2000-05-17 2010-04-12 Ihi Corporation Aparato y procedimiento de cvd por plasma.
US20020123485A1 (en) * 2000-07-27 2002-09-05 Alexander John C. Epoxy steroidal aldosterone antagonist and beta-adrenergic antagonist combination therapy for treatment of congestive heart failure
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US20020197402A1 (en) * 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7591957B2 (en) * 2001-01-30 2009-09-22 Rapt Industries, Inc. Method for atmospheric pressure reactive atom plasma processing for surface modification
US7510664B2 (en) 2001-01-30 2009-03-31 Rapt Industries, Inc. Apparatus and method for atmospheric pressure reactive atom plasma processing for shaping of damage free surfaces
JP4149909B2 (ja) * 2001-03-23 2008-09-17 東京エレクトロン株式会社 誘導結合高密度プラズマ源
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6660177B2 (en) 2001-11-07 2003-12-09 Rapt Industries Inc. Apparatus and method for reactive atom plasma processing for material deposition
EP1453083A4 (en) * 2001-12-07 2007-01-10 Tokyo Electron Ltd INSULATING FILM NITRIDING PROCESS, SEMICONDUCTOR DEVICE AND METHOD FOR PRODUCING THE SAME, AND SURFACE TREATING DEVICE AND METHOD
KR100446619B1 (ko) * 2001-12-14 2004-09-04 삼성전자주식회사 유도 결합 플라즈마 장치
JP3847184B2 (ja) * 2002-03-14 2006-11-15 東京エレクトロン株式会社 プラズマ処理装置
US6716570B2 (en) * 2002-05-23 2004-04-06 Institute Of Microelectronics Low temperature resist trimming process
JP3823069B2 (ja) * 2002-06-12 2006-09-20 株式会社アルバック 磁気中性線放電プラズマ処理装置
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6774569B2 (en) 2002-07-11 2004-08-10 Fuji Photo Film B.V. Apparatus for producing and sustaining a glow discharge plasma under atmospheric conditions
DE10231738B4 (de) * 2002-07-13 2005-03-17 Deutsches Zentrum für Luft- und Raumfahrt e.V. Anpassungsvorrichtung für eine Induktions-Plasmabrennervorrichtung und Verfahren zur elektrischen Steuerung und Regelung einer Induktions-Plasmabrennervorrichtung
DE10231739B4 (de) * 2002-07-13 2004-10-28 Deutsches Zentrum für Luft- und Raumfahrt e.V. Induktions-Plasmabrennervorrichtung
US6907841B2 (en) * 2002-12-27 2005-06-21 Korea Institute Of Science And Technology Apparatus and method for synthesizing spherical diamond powder by using chemical vapor deposition method
US7371992B2 (en) 2003-03-07 2008-05-13 Rapt Industries, Inc. Method for non-contact cleaning of a surface
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US8580076B2 (en) * 2003-05-22 2013-11-12 Lam Research Corporation Plasma apparatus, gas distribution assembly for a plasma apparatus and processes therewith
US20040235299A1 (en) * 2003-05-22 2004-11-25 Axcelis Technologies, Inc. Plasma ashing apparatus and endpoint detection process
US7133180B2 (en) * 2003-06-03 2006-11-07 Oewaves, Inc. Resonant impedance matching in microwave and RF device
US7015414B2 (en) * 2003-09-30 2006-03-21 Tokyo Electron Limited Method and apparatus for determining plasma impedance
US7241361B2 (en) 2004-02-20 2007-07-10 Fei Company Magnetically enhanced, inductively coupled plasma source for a focused ion beam system
US20050202624A1 (en) * 2004-03-12 2005-09-15 Infineon Technologies North America Corp. Plasma ion implantation system
US7435926B2 (en) * 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US7431795B2 (en) * 2004-07-29 2008-10-07 Applied Materials, Inc. Cluster tool and method for process integration in manufacture of a gate structure of a field effect transistor
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7713432B2 (en) * 2004-10-04 2010-05-11 David Johnson Method and apparatus to improve plasma etch uniformity
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070042130A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Method of treating films using UV-generated active species
US7687303B1 (en) * 2005-11-01 2010-03-30 Mentor Graphics Corporation Method for determining via/contact pattern density effect in via/contact etch rate
JP4405973B2 (ja) * 2006-01-17 2010-01-27 キヤノンアネルバ株式会社 薄膜作製装置
JP4864488B2 (ja) * 2006-02-28 2012-02-01 住友精密工業株式会社 プラズマ反応装置
US7517437B2 (en) * 2006-03-29 2009-04-14 Applied Materials, Inc. RF powered target for increasing deposition uniformity in sputtering systems
US7605063B2 (en) * 2006-05-10 2009-10-20 Lam Research Corporation Photoresist stripping chamber and methods of etching photoresist on substrates
WO2008024392A2 (en) * 2006-08-22 2008-02-28 Valery Godyak Inductive plasma source with high coupling efficiency
US8992725B2 (en) * 2006-08-28 2015-03-31 Mattson Technology, Inc. Plasma reactor with inductie excitation of plasma and efficient removal of heat from the excitation coil
US9137884B2 (en) * 2006-11-29 2015-09-15 Lam Research Corporation Apparatus and method for plasma processing
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
DE102007036592B4 (de) 2007-08-02 2014-07-10 Astrium Gmbh Hochfrequenzgenerator für Ionen- und Elektronenquellen
TWI440405B (zh) * 2007-10-22 2014-06-01 New Power Plasma Co Ltd 電容式耦合電漿反應器
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
JP5203758B2 (ja) * 2008-03-17 2013-06-05 東京エレクトロン株式会社 プラズマ処理装置
US7723240B2 (en) * 2008-05-15 2010-05-25 Macronix International Co., Ltd. Methods of low temperature oxidation
JP2009021240A (ja) * 2008-07-03 2009-01-29 Hitachi Kokusai Electric Inc プラズマ処理装置
JP5227245B2 (ja) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 プラズマ処理装置
JP2011023356A (ja) * 2010-07-29 2011-02-03 Hitachi Kokusai Electric Inc プラズマ処理装置及び基板処理方法
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
US10224182B2 (en) * 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US8822913B2 (en) * 2011-12-06 2014-09-02 Fei Company Inductively-coupled plasma ion source for use with a focused ion beam column with selectable ions
JP2013182966A (ja) 2012-03-01 2013-09-12 Hitachi High-Technologies Corp プラズマ処理装置及びプラズマ処理方法
US9536713B2 (en) * 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
US20140263181A1 (en) * 2013-03-15 2014-09-18 Jaeyoung Park Method and apparatus for generating highly repetitive pulsed plasmas
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
JP6454488B2 (ja) * 2014-07-10 2019-01-16 株式会社日立ハイテクノロジーズ プラズマ処理装置
CN106463393B (zh) 2015-01-16 2018-04-13 株式会社爱发科 等离子体处理装置
KR102477302B1 (ko) 2015-10-05 2022-12-13 주성엔지니어링(주) 배기가스 분해기를 가지는 기판처리장치 및 그 배기가스 처리방법
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
JP6541623B2 (ja) * 2016-06-20 2019-07-10 東京エレクトロン株式会社 プラズマ処理装置、及び波形補正方法
JP6637846B2 (ja) * 2016-06-23 2020-01-29 東京エレクトロン株式会社 フィルタを設計する方法
WO2019035830A1 (en) * 2017-08-16 2019-02-21 Ecosense Lighting Inc MULTI-CHANNEL WHITE LIGHT DEVICE FOR HIGH-COLOR RENDERABLE WHITE LED ACCORDING LIGHT DELIVERY
CN111033701B (zh) * 2017-09-13 2023-08-04 株式会社国际电气 基板处理装置、半导体器件的制造方法以及记录介质
US10777386B2 (en) * 2017-10-17 2020-09-15 Lam Research Corporation Methods for controlling plasma glow discharge in a plasma chamber
US20230363076A1 (en) 2020-09-15 2023-11-09 Shimadzu Corporation Radical generation device and ion spectrometer
WO2023019145A1 (en) * 2021-08-12 2023-02-16 Lam Research Corporation Distortion of pulses for wafer biasing
US11956885B2 (en) * 2021-08-19 2024-04-09 Mks Instruments, Inc. Method and apparatus for impedance matching in a power delivery system for remote plasma generation
JP7417569B2 (ja) 2021-10-29 2024-01-18 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995021516A1 (de) * 1994-02-02 1995-08-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung zur plasmaerzeugung
JPH10510095A (ja) * 1995-09-29 1998-09-29 ラム リサーチ コーポレイション プラズマ発生方法及び装置

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3873884A (en) 1973-03-01 1975-03-25 Perkin Elmer Corp Electrodeless discharge lamp and power coupler therefor
US4368092A (en) 1981-04-02 1983-01-11 The Perkin-Elmer Corporation Apparatus for the etching for semiconductor devices
US4918031A (en) * 1988-12-28 1990-04-17 American Telephone And Telegraph Company,At&T Bell Laboratories Processes depending on plasma generation using a helical resonator
US4943345A (en) 1989-03-23 1990-07-24 Board Of Trustees Operating Michigan State University Plasma reactor apparatus and method for treating a substrate
DE69128345T2 (de) * 1990-01-04 1998-03-26 Mattson Tech Inc Induktiver plasmareaktor im unteren hochfrequenzbereich
US5304282A (en) 1991-04-17 1994-04-19 Flamm Daniel L Processes depending on plasma discharges sustained in a helical resonator
US5234529A (en) 1991-10-10 1993-08-10 Johnson Wayne L Plasma generating apparatus employing capacitive shielding and process for using such apparatus
US5361016A (en) 1992-03-26 1994-11-01 General Atomics High density plasma formation using whistler mode excitation in a reduced cross-sectional area formation tube
US5241245A (en) * 1992-05-06 1993-08-31 International Business Machines Corporation Optimized helical resonator for plasma processing
US5346578A (en) 1992-11-04 1994-09-13 Novellus Systems, Inc. Induction plasma source
GB2276785B (en) * 1993-03-31 1997-01-08 Marconi Gec Ltd Changeover means for R F source
US5571366A (en) * 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
US5431968A (en) 1993-12-07 1995-07-11 Miller; Paul A. Method for simultaneously coating a plurality of filaments
JP3333294B2 (ja) * 1993-12-22 2002-10-15 株式会社荏原製作所 電源装置
US5580385A (en) * 1994-06-30 1996-12-03 Texas Instruments, Incorporated Structure and method for incorporating an inductively coupled plasma source in a plasma processing chamber
JP3140934B2 (ja) 1994-08-23 2001-03-05 東京エレクトロン株式会社 プラズマ装置
JP3177573B2 (ja) * 1995-02-20 2001-06-18 日本真空技術株式会社 磁気中性線放電プラズマ処理装置
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6017221A (en) * 1995-12-04 2000-01-25 Flamm; Daniel L. Process depending on plasma discharges sustained by inductive coupling

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1995021516A1 (de) * 1994-02-02 1995-08-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung zur plasmaerzeugung
JPH10510095A (ja) * 1995-09-29 1998-09-29 ラム リサーチ コーポレイション プラズマ発生方法及び装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10184178B2 (en) 2015-03-27 2019-01-22 Samsung Electronics Co., Ltd. Plasma-enhanced chemical vapor deposition (PE-CVD) apparatus and method of operating the same

Also Published As

Publication number Publication date
KR100663874B1 (ko) 2007-06-04
EP0865715A1 (en) 1998-09-23
WO1997021332A1 (en) 1997-06-12
US5965034A (en) 1999-10-12
EP0865716A1 (en) 1998-09-23
JP2000501568A (ja) 2000-02-08
KR19990071898A (ko) 1999-09-27
DE69607200T2 (de) 2000-11-23
JP4108125B2 (ja) 2008-06-25
US20030168427A1 (en) 2003-09-11
JP2007142444A (ja) 2007-06-07
JP2010021590A (ja) 2010-01-28
US6858112B2 (en) 2005-02-22
HK1010633A1 (en) 1999-06-25
WO1997021330A1 (en) 1997-06-12
EP0865716B1 (en) 2000-03-15
DE69607200D1 (de) 2000-04-20
EP0865715A4 (en) 2001-03-14

Similar Documents

Publication Publication Date Title
JP4542198B2 (ja) 半導体素子の製造装置及び半導体素子の製造方法
US6017221A (en) Process depending on plasma discharges sustained by inductive coupling
KR100444189B1 (ko) 유도결합 플라즈마 소스의 임피던스 정합 회로
US7506610B2 (en) Plasma processing apparatus and method
KR100642157B1 (ko) 플라즈마 처리 장치 및 방법 그리고 플라즈마 생성용전극판
KR102036950B1 (ko) 플라즈마 처리 방법
TWI645442B (zh) Plasma processing device
US20040237897A1 (en) High-Frequency electrostatically shielded toroidal plasma and radical source
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
WO2004049419A1 (ja) プラズマ処理方法及び装置
JPH10241898A (ja) Hdp−cvdチャンバ用のプラズマソース
JP2010003699A (ja) プラズマ発生装置
KR19990028399A (ko) 유도 결합 플라즈마 소스를 위한 저 인덕턴스 대면적 코일
JPH05206072A (ja) 誘導rf結合を用いたプラズマ加工装置とその方法
JP2006502556A (ja) 半導体ワークピースを処理するためのプラズマリアクタ
JP2000323298A (ja) プラズマ処理装置及びプラズマ処理方法
JP2001118700A (ja) 整合器およびプラズマ処理装置
TWI279169B (en) Plasma processing apparatus capable of performing uniform plasma treatment by preventing drift in plasma discharge current
JP3254069B2 (ja) プラズマ装置
TWI439186B (zh) 化合物電漿來源及利用該來源以解離氣體的方法
JP4467667B2 (ja) プラズマ処理装置
JP4108125B6 (ja) 発生させたプラズマ間の容量電流における位相部と逆位相部が平衡する誘導構造によって励起される高周波プラズマ処理方法
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR100772447B1 (ko) 내장 마그네틱 코어를 갖는 유도 결합 플라즈마 소스

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100622

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100624

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130702

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140702

Year of fee payment: 4

EXPY Cancellation because of completion of term