KR100625913B1 - 기판 이송 및 처리 방법 및 장치 - Google Patents

기판 이송 및 처리 방법 및 장치 Download PDF

Info

Publication number
KR100625913B1
KR100625913B1 KR1020007013029A KR20007013029A KR100625913B1 KR 100625913 B1 KR100625913 B1 KR 100625913B1 KR 1020007013029 A KR1020007013029 A KR 1020007013029A KR 20007013029 A KR20007013029 A KR 20007013029A KR 100625913 B1 KR100625913 B1 KR 100625913B1
Authority
KR
South Korea
Prior art keywords
chamber
shuttle
substrate
drive mechanism
substrate transfer
Prior art date
Application number
KR1020007013029A
Other languages
English (en)
Other versions
KR20010025064A (ko
Inventor
존 엠. 화이트
웬델 티. 블로니건
로빈 엘. 티너
시니치 큐리타
Original Assignee
에이케이티 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이케이티 가부시키가이샤 filed Critical 에이케이티 가부시키가이샤
Publication of KR20010025064A publication Critical patent/KR20010025064A/ko
Application granted granted Critical
Publication of KR100625913B1 publication Critical patent/KR100625913B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/061Lifting, gripping, or carrying means, for one or more sheets forming independent means of transport, e.g. suction cups, transport frames
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G49/00Conveying systems characterised by their application for specified purposes not otherwise provided for
    • B65G49/05Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles
    • B65G49/06Conveying systems characterised by their application for specified purposes not otherwise provided for for fragile or damageable materials or articles for fragile sheets, e.g. glass
    • B65G49/063Transporting devices for sheet glass
    • B65G49/064Transporting devices for sheet glass in a horizontal position
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G2249/00Aspects relating to conveying systems for the manufacture of fragile sheets
    • B65G2249/02Controlled or contamination-free environments or clean space conditions
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Abstract

본 발명은 유리 기판이 하나의 처리 스테이션에서 다른 처리 스테이션으로 빠르게 이동되도록 한다. 상기와 같은 이동은 상이한 챔버내의 구동이 적당한 시간에 셔틀 상의 유리 기판을 이동시키기 위하여 동기화되도록 발생된다. 본 발명의 시스템에서, 적어도 하나의 제 1 및 제2챔버가 제공된다. 일반적으로, 제 1챔버는 로드 록이고 제 2챔버는 처리 챔버이다. 기판 이송 셔틀은 예를 들어 가이드 롤러에 의하여 한정된 가이드 경로를 따라 기판을 이동시키기 위하여 이용된다. 구동 메커니즘은 대부분의 챔버에 대하여 경로의 관련 부분을 따라 셔틀을 구동시키기 위하여 이용된다. 제 1챔버용 구동 메커니즘에 파워를 제공하여 제 1위치에서 제 2위치로 중간 위치를 통하여 기판 이송 셔틀을 구동시키기 위한 제어 시스템이 제공된다. 중간 위치에서, 기판 이송 셔틀은 제 2챔버용 구동 메커니즘과 맞물려 이의 이동을 유도하기 시작한다. 제어 시스템은 제 2챔버의 구동 메커니즘의 유도된 이동에 의하여 발생되는 입력을 수신하며, 이 입력은 기판 이송 셔틀이 중간 위치 이상으로 소정 거리를 이동했다는 것을 나타낸다.

Description

기판 이송 및 처리 방법 및 장치 {METHOD AND APPARATUS FOR SUBSTRATE TRANSFER AND PROCESSING}
본 발명은 기판 처리에 관한 것이며, 특히 처리 챔버에 대하여 기판을 이송하는 것에 관한 것이다.
유리 기판은 무엇보다도 액티브 매트릭스 텔레비전 및 컴퓨터 디스플레이와 같은 응용분야에 이용된다. 대형 유리 기판은 다수의 디스플레이 모니터를 형성할 수 있는데, 각각의 디스플레이 모니터는 수백 만개 이상의 얇은 필름 트랜지스터를 포함할 수 있다. 대형 유리 기판의 처리는 때때로 예를 들어 화학 기상 증착(CVD) 공정, 물리 기상 증착(PVD) 공정 또는 에칭 공정의 수행을 포함하여 다수의 시퀀스 단계의 수행을 포함한다. 유리 기판을 처리하는 시스템은 이들 공정을 수행하는 하나 이상의 처리 챔버를 포함할 수 있다.
유리 기판은 예를 들어 550×650mm의 크기를 가질 수 있다. 현재는 650×830mm 이상의 대형 유리 기판 사이즈를 가지는 경향이 있어 더 많은 디스플레이가 기판상에 형성되도록 하거나 대형 디스플레이를 제조할 수 있도록 한다. 더 큰 사이즈는 우수한 성능의 처리 시스템을 요구한다.
대형 유리 기판에 얇은 필름을 증착하는 기본 처리 기술중 일부는 예를 들어 반도체 웨이퍼 처리시에 이용되는 것과 전반적으로 유사하다. 그러나 일부 유사함에도 불구하고, 대형 유리 기판의 처리시에 반도체 웨이퍼와 소형 유리 기판에 현재 이용되는 기술을 적용함으로써 실제로 그리고 비용적으로 극복될 수 없는 다수의 문제점을 만나게 된다.
예를 들어, 효율적인 생산 라인 처리는 하나의 워크스테이션에서 다른 워크 스테이션으로 그리고 진공 환경과 대기 환경 사이에서 유리 기판의 빠른 이동을 요구한다. 유리 기판의 대형 사이즈와 형상은 처리 시스템의 한 위치에서 다른 위치로 이동하는 것을 곤란하게 한다. 따라서, 최고 550×650mm 의 기판과 같은 반도체 웨이퍼와 소형 유리 기판의 진공 처리에 적합한 클러스터 툴은 650×830mm 이상의 대형 유리 기판의 처리에 적합하지 않게 된다. 또한, 클러스터 툴은 상대적으로 큰 플로어(floor) 공간을 요구한다.
상기와 같은 처리 툴을 개선하기 위한 하나의 방법이 미국출원 08/946,922 "모듈러 클러스터 처리 시스템"에 개시되어 있는데, 상기 출원은 캘리포니아 산타클라라의 어플라이드 고마쯔 테크놀로지 인코포레이트에게 양도되고 여기에 참고된다. 모듈러 처리 시스템의 사용은 트랙 상의 컨베이어(conveyor) 또는 로봇에 의하여 수행되는 처리 아일랜드(island) 외부의 기판 이동으로 개시된다. 처리 아일랜드 내부의 기판 이동은 기판 이송기(transporter)에 의하여 수행된다. 이러한 종류의 시스템에서, 이송기는 처리 챔버의 안쪽 또는 바깥쪽으로 기판을 이동시킬 수 있으며, 그 다음에 이송기는 로드 록에 체류할 수 있다.
유사하게, 상대적으로 작은 반도체 웨이퍼를 처리하도록 설계된 챔버 구성은 이러한 대형 유리 기판의 처리에 적합하지 못하다. 챔버는 챔버에 기판을 유입시키고 배출하도록 하기에 충분한 사이즈의 개구를 포함하여야 한다. 또한, 처리 챔버에서의 기판 처리는 일반적으로 진공에서 또는 저압하에서 수행되어야 한다. 따라서 처리 챔버 사이에서의 유리 기판의 이동은 진공 밀봉이 되도록 특히 넓은 개구를 밀봉할 수 있으며 오염을 최소화시키는 밸브 메커니즘을 요구한다.
또한, 상대적으로 적은 결함도 기판상에 형성된 전체 모니터를 불량품으로 만들 수 있다. 따라서, 하나의 위치에 다른 위치로 이송될 때 유리 기판에서 발생되는 결함을 감소시키는 것이 중요하다. 유사하게, 기판이 처리 시스템내에서 이송되고 배치될 때 기판의 오정렬은 유리가 디스플레이에 형성될 때 유리 기판의 한쪽 에지가 전기적으로 기능하지 못할 정도로 처리 균일성을 손상시킬 수 있다. 이러한 오정렬이 심하면, 기판은 구조물에 부딪혀서 진공 챔버내에 파손될 수도 있다.
대형 유리 기판 처리와 관련된 다른 문제점은 이들의 독특한 열적 성질 때문에 발생한다. 예를 들어, 상대적으로 낮은 열전도성을 가진 유리는 기판을 균일하게 가열하거나 냉각시키기 곤란하다. 특히, 큰 면적의 얇은 기판의 에지 근처의 열 손실은 기판 중심 근처보다 커서 기판 전체에 열 경사도가 균일하지 않도록 한다. 따라서 사이즈와 관련된 유리 기판의 열적 성질은 처리 챔버 표면의 여러 부분 상에 형성된 전기 부품에 대하여 균일한 특성을 얻는 것을 곤란하게 한다. 또한, 기판을 빠르고 균일하게 가열 또는 냉각시키는 것은 열전도율이 나빠서 곤란하며, 따라서 수율을 감소시킨다.
전술한 바와 같이, 효율적인 생산 라인 처리는 하나의 워크 스테이션 또는 처리 아일랜드로부터 다른 워크 스테이션 또는 아일랜드로 유리 기판을 빠르게 이동시킬 것을 요구한다. 대형 유리 기판은 특히 다루기가 곤란하고 파손되기 쉬워 공정을 복잡하게 한다.
본 발명은 대형 유리 기판이 처리 스테이션 내에서 또는 하나의 처리 스테이션에서 다른 처리 스테이션으로 빠르게 이동하게 한다. 상기와 같은 이동은 상이한 챔버의 구동이 적절한 시간에서 셔틀상의 유리 기판을 이동시키는 것과 동기화되도록 하여 발생된다. 본 발명의 일 실시예에 따른 시스템에서, 적어도 제 1 및 제 2 챔버가 제공된다. 일반적으로, 제 1 챔버는 로드 록이고 제 2 챔버는 처리 챔버이다. 처리 챔버는 검사 스테이션, CVD 챔버, PECVD 챔버, PVD 챔버, 포스트-어닐링 챔버, 세척 챔버, 디스커밍(descumming) 챔버, 에칭 챔버 또는 상기와 같은 챔버들의 결합을 포함할 수 있다. 로드 록은 기판을 가열하거나 냉각시키기 위하여 이용될 수 있다. 두 개의 로드 록이 이용될 수 있는데, 하나는 가열용이고 다른 하나는 냉각용이다. 로드 록은 각각 기판을 지지하는 플래튼(platen)을 포함한다.
기판 이송 셔틀은 예를 들어 가이드 롤러에 의하여 한정되는 가이드 경로를 따라 기판을 이동시키는데 이용된다. 구동 메커니즘이 챔버들사이에서 이용되어, 관련된 부분의 경로를 따라 셔틀을 구동시키도록 한다. 제 1위치에서 제 2위치로 그리고 중간 위치를 통하여 기판 이송 셔틀을 구동시키기 위하여 제 1챔버에 인접한 구동 메커니즘에 파워를 공급하는 제어 시스템이 제공된다. 중간 위치에서, 기판 이송 셔틀은 제 2챔버와 인접한 구동 메커니즘의 이동에 관여하고 이를 유도한다. 제어 시스템은 제 2챔버에 인접한 구동 메커니즘의 유도된 이동에 의하여 발생된 입력을 수신하는데, 이 입력은 기판 이송 셔틀이 중간 위치 너머로 소정 거리를 이동한 것을 나타낸다. 상기 입력은 또한 제 1 챔버에서 제 2 챔버로 기판 이송 셔틀의 이동을 동기화시키기 위하여 이용될 수 있다. 상기와 같은 동기화는 제 1챔버에 인접한 구동 메커니즘에 대한 파워 감소 및/또는 제 2 챔버에 인접한 구동 메커니즘에 파워를 제공하도록 할 수 있다.
본 발명의 구현은 다음 중 하나를 포함할 수 있다. 몇 개의 처리 챔버가 이용될 수 있으며, 각각의 챔버로의 셔틀의 이동은 전술한 바와 같이 동기화될 수 있다. 동기화는 셔틀이 전방향으로 그리고 후방향으로 이동되도록 발생될 수 있다. 하나 이상의 셔틀이 이용될 수 있으며, 다수의 셔틀이 독립적으로 동작할 수 있다.
셔틀 위치를 검출하여 만약 위치 에러가 검출될 경우 피드백을 구동 메커니즘에 제공하여 예를 들어 구동 운동과 같이 변화량을 지시하도록 센서가 이용될 수 있다. 이 경우, 모든 처리 챔버와 로드 록에 대하여 분포된 에러 교정 체계가 구현될 수 있다. 센서는 예를 들어 자기 또는 광학 센서일 수 있다. 또한, 센서는 셔틀이 챔버 벽으로 주행하거나 또는 구동 메커니즘으로부터 벗어나지 않도록 하기 위하여 이용될 수 있다. 이런 방식으로, 셔틀은 항상 적어도 하나의 구동 메커니즘에 의하여 구동될 수 있다.
시스템은 구동 부품을 용이하게 동기화시켜 여러 종류의 플랙시블 필름이 반도체 처리 시스템에서 형성될 수 있도록 한다.
본 발명의 다른 장점은 처리 챔버에서 정확한 위치에 빠르고 정확하게 기판 을 전달하는 동안 온도 워밍업 때문에 챔버가 확장되도록 하는 것이다. 이는 챔버의 동작 온도를 기초로 구동 메커니즘을 보정할 필요가 없게 하여, 소정 챔버가 다른 온도에서 공정을 수행하기 용이하도록 한다.
본 발명의 다른 장점은 다음 중 하나 이상을 포함한다. 본 발명은 반도체 또는 유리 TFT 처리 시스템에서 불필요한 기판 이동을 배제한다. 예를 들어, 기판은 서셉터에 로딩되고 언로딩되는 것을 제외하고 전체적으로 수평으로 이송될 것이다. 본 발명은 비싸고 다루기 어려운 진공 로봇 및 이송 챔버 시스템이 필요 없다. 본 발명은 처리하는 동안 처리 챔버로부터 기판 셔틀을 이탈시켜 오염을 감소시킨다.
본 발명의 하나 이상의 실시예의 세부 항목은 첨부된 도면 및 이하의 설명에 나타나 있다. 본 발명의 특징, 목적 및 장점들은 상세한 설명, 도면 및 청구항으로부터 명백하다.
도 1은 본 발명에 따른 시스템의 처리 아일랜드의 평면도이다.
도 1a는 앨코브(alcove)를 이용하는 로드 록의 단면이다.
도 2a-2c는 본 발명에 따른 셔틀 및 리프팅 포크의 평면도이다.
도 2d는 지지 핑거상에 지지된 가열된 보잉(bowing) 유리 기판을 도시하는 측면도이다.
도 3은 본 발명에 따른 시스템의 처리 아일랜드의 측면도이다.
도 4는 본 발명에 따른 기판 이송 셔틀의 투시도이다.
도 5는 본 발명에 따른 처리 챔버와 기판 이송 셔틀의 부분 단면도이다.
도 6a는 본 발명의 실시예에 따른 처리 아일랜드 및 셔틀의 횡단면도이다.
도 6b는 본 발명의 선택적인 실시예에 따른 처리 아일랜드 및 셔틀의 횡단면도이다.
도 7a-7c는 본 발명에 따른 로드 록 챔버의 부분 단면도로서 셔틀로부터 로드 록 챔버내의 플래튼까지의 여러 이송 단계에 있는 기판을 도시한다.
도 7d-7e는 셔틀의 지지 핑거가 로드 록 챔버의 플래튼을 통과할 때 기판 이송 셔틀과 플래튼의 선택적인 실시예의 투시도를 도시한다.
도 8a-8b는 본 발명에 따른 처리 챔버의 단면도로서, 셔틀과 서셉터 사이에서 기판의 여러 이송 단계를 도시한다.
도 9a-9f는 본 발명에 따른 두 개의 셔틀 시스템의 부분 측면도이다.
도 10은 본 발명에 따른 셔틀의 정확한 위치 설정을 위해 센서를 이용하는 다중챔버 시스템의 상부도이다.
도 11은 본 발명에 따른 리미트 센서 위치를 도시하는 측면도이다.
도 12는 본 발명에 따른 챔버에 이용될 수 있는 레이저 위치설정 센서 시스템의 측면도이다.
도 13은 본 발명에 따른 처리 시스템의 개략도이다.
도 14는 위치 발견 및 정위치 확인 장치의 실시예를 도시한다.
도 15는 단일 센서를 이용하는 위치 발견 및 정위치 확인 장치에 대한 센서 전압 출력 대 자석 위치의 그래프이다.
도 16은 이중 센서를 이용하는 위치 발견 및 정위치 확인 장치에 대한 센서 전압 출력 대 자석 위치의 그래프이다.
도 17은 도 16의 실시예에 대한 이중 센서 배치를 도시한다.
동일한 부재는 동일한 도면보호를 병기했다.
도 1은 본 발명의 실시예에 따른 제조 시스템의 처리 아일랜드(42)를 도시한다. 화살표(101)는 처리 아일랜드에서 상방향에서 하방향으로의 방향을 나타낸다. 아일랜드(42)는 아일랜드의 제 1 단부에 기판 가열 로드 록 챔버(50) 및 상기 제 1단부의 종방향으로 대향하는 쪽으로 아래에 배치된 아일랜드의 제 2 단부에 기판 냉각 로드 록 챔버(52)를 포함한다. 물론, 용어 "가열" 및 "냉각"은 제한하고자 하는 것은 아니고, 이들은 챔버가 가질 수 있는 특성의 예를 나타낼 뿐이다.
로드 록 챔버들(50, 52) 사이에는 다수의 처리 챔버(54A-54C)가 배치되며, 이들은 로드 록 챔버 사이에 직렬로 연결된다. 각각의 처리 챔버(54A-54C)는 각각의 처리 챔버의 제 1 및 제 2 단부에 제 1 및 제 2 게이트 밸브(56A-56C 및 58A-58C)를 포함한다(도 3참조). 밸브(56A)가 닫히면, 처리 챔버(54A)로부터 로드 록 챔버(50)가 선택적으로 밀봉되며 개방되면 밸브(56A)를 통하여 기판이 이송된다. 유사하게, 밸브(58C)가 닫히면 처리 챔버(54C)로부터 로드 록 챔버(55)가 선택적으로 밀봉되며 개방되면 밸브를 통하여 기판이 이송된다. 밸브(58A, 56B)가 닫히면 제 2 처리 챔버(54B)로부터 제 1 처리 챔버(54A)가 밀봉되며 개방되면 밸브를 통하여 기판이 이송된다. 마찬가지로 밸브(58B, 56C)가 닫히면 제 3 처리 챔버(54C)로부터 제 2 처리 챔버(54B)가 선택적으로 밀봉되며 개방되면 밸브를 통하여 기판이 이송된다. 밸브 쌍(58A, 56B) 및 (58B, 56C)은 도시된 구성이 이하에 설명되는 장점을 가지더라도 단일 밸브로 대체될 수 있다. 이용될 수 있는 밸브 종류의 예는 "격리 밸브"라는 명칭으로 본원과 동일자로 출원된 미국출원에 개시되어 있으며, 이는 여기에 참고된다.
이러한 상세한 설명은 유리 기판이 이용되는 실시예를 설명한다. 용어 "기판"은 처리 챔버에서 처리되는 모든 목적물을 광범위하게 나타내는 것으로, 평판 디스플레이, 유리 또는 세라믹 플레이트, 플라스틱 시트 또는 디스크를 포함한다. 본 발명은 특히 650×830mm 이상의 치수를 가진 유리판과 같은 대형 기판을 처리하기에 적합하다.
본 시스템에서, 기판은 지지 핑거에 의하여 지지된다. 지지 핑거는 도 1, 4, 7d 및 14에 도시된 바와 같이 모두 평행하거나 또는 일부는 도 2b-2c 및 7e에 도시된 바와 같이 각이 질 수 있다. 실시예에서, 짧은 치수의 기판은 일반적으로 처리 아일랜드내의 이동 방향에 평행하다.
도 1 및 3은 로드 록(50, 52) 각각의 기판 이송 셔틀을 도시한다. 도 3에 도시된 바와 같이, 로드 록 챔버(50, 52)는 아일랜드의 한쪽 측면을 따라 위치한 각각의 게이트 또는 슬릿 밸브(60, 62)를 가진다. 밸브(60, 62)(도 3)는 닫힌 상태에서 대기로부터 관련된 로드 록 챔버를 선택적으로 밀봉시키고 개방 상태에서 로드 록 챔버에 대한 기판의 유입 및 제거를 가능하게 한다. 도 3에서, 밸브(56A, 58A, 56B, 58B)는 개방 상태이고 밸브(56C, 58C)는 폐쇄 상태를 도시한다.
기판은 밸브(60)를 통해 인입 로드 록 챔버를 형성하는 로드 록 챔버(50)에 삽입된다. 로드 록 챔버(50)가 대기 및 처리 챔버(54A)로부터 밀봉 상태에 있을 경우, 로드 록 챔버는 진공으로 펌핑되고 기판을 가열된다.
로드 록 시스템은 단계적인 진공이 발생하도록 한다. 즉, 처리 챔버 진공은 로딩되고 언로딩되는 기판에 대해 브리칭될 필요가 없다. 로드 록은 처리 챔버로부터 이들을 분리하는 밸브를 개방하기 전에 독립적으로 펌핑 다운되기 때문에, 처리 챔버 펌프는 이미 부분적으로 진공인 챔버를 진공화할 때만 필요하다. 즉, 이들은 공정 진공 상태만을 유지하면 되고 이들을 확장시킬 필요는 없다. 상기와 같은 능력은 특히 예를 들어 가장 낮은 공정 압력을 요구할 수 있는 물리 기상 증착(PVD)에서 중요하다.
각각의 로드 록 챔버는 다수의 기능을 가진다. 가열, 냉각 및 디스커밍과 같은 처리 단계는 각각의 로드 록에서 제공될 수 있다. 가열 및 냉각은 기판과 열접촉하여 안팎으로 이동될 수 있는 플레이트를 가열 및 냉각시킴으로써 이루어진다. 일반적으로, 로드 록 챔버(50)는 가열 및 디스커밍을 위하여 이용되고, 로드 록 챔버(52)는 냉각을 위하여 이용될 수 있다. 애싱(ashing) 공정이 챔버에서 제공될 수 있다. 다음에 기판은 처리 챔버(54A-54C)사이를 통과한다. 각각의 처리 챔버에서, 기판상에서 특정 반도체 공정이 수행될 수 있다. 애싱 또는 디스커밍이 또한 처리 챔버에서 발생할 수 있다. 다기능 로드 록에 대한 상세한 설명은 본원과 동일자로 출원된 "기판 처리 시스템용 다기능 챔버"라는 미국출원에 개시되어 있으며, 이는 여기에 참고된다.
처리된 기판은 출구 로드 록 챔버를 형성하는 냉각 로드 록 챔버(52)에서 냉각되며, 대기 압력으로 될 수 있다. 다음에, 기판은 밸브(62)를 통하여 시스템으로부터 제거될 수 있다. 로드 록 챔버(50, 52)에 대한 기판의 삽입 및 제거는 각각 로봇(64A, 64B)에 의하여 수행될 수 있다(도 1참조). 선택적으로, 트랙 또는 컨베이어 상에서 동작하는 하나의 로봇만이 이용되어 기판을 삽입 또는 제거시킬 수 있다.
각각의 로봇은 암(68A, 68B)의 말단에서 리프팅 포크(66A, 66B) 형태의 엔드 이펙터를 포함한다. 근위단에서, 각각의 암(68A, 68B)은 관련 수직 라이너 액추에이터(도시안됨)에 연결되어 암 및 리프팅 포크가 상승 및 하강되도록 한다. 도 2a와 2c에서, 리프팅 포크(66A, 66B)의 상부에는 다수의 지지부(154)가 구비되어 포크(66A, 66B)의 상부 상에서 기판(126)이 지지된다.
예를 들어, 로봇(64A)은 기판 홀딩 카세트에 대하여 기판을 회수 및 반환할 수 있다. 제 1로딩 위치에서, 로봇(64A)은 게이트 또는 슬릿(60)(도 3)을 통하여 아일랜드(42)의 로드 록 챔버(50)로 기판을 로딩할 수 있다. 로봇(64B)은 로봇(64A)과 유사한 방식으로 동작한다. 로봇의 상세한 설명은 본원과 동일자로 출원된 "모듈러 기판 처리 시스템"이란 명칭의 미국출원에 개시되어 있으며, 이는 여기에 참고된다. 제 1위치 또는 하부 위치에서, 포크(66A)는 카세트내의 기판 하부 또는 로드 록 챔버내의 셔틀 상에 삽입될 수 있다. 포크는 현재 제조 라인에 시스템을 통합하기에 상당히 용이하게 동일 포크가 이용될 수 있도록 설계된다.
중간 위치로 상승될 때, 포크(66A)의 상부면 또는 특히 포크의 살(tine)의 상부면을 따르는 지지 또는 패드(154)(도 2a, 2c참조)는 기판(125)의 하부면과 맞 물린다. 제 2위치 또는 상승된 위치로 더 상승될 때, 포크(66A)는 카세트 또는 셔틀과 맞물리지 않도록 기판(126)을 상승시킨다.
로딩 중에, 로봇(64A)의 z-로터리 액추에이터는 로딩 엔드 이펙터(66A)를 180°회전시켜 기판이 슬릿 밸브(60)를 통해 로드 록 가열 챔버(50)로 삽입되도록 한다. 기판(126)의 높이를 조절하도록 z-선형 액추에이터에 의한 미세 조절이 이루어져 기판(126)이 슬릿 밸브(60)(도 3)를 통하여 방해되지 않고 들어가게 한다. 기판을 로딩하는 중에, 슬릿 밸브(60)는 열리고 기판은 y-선형 액추에이터에 의하여 y-방향으로 이동된다. 이러한 이동은 기판을 로드 록 챔버(50)에 로딩시키는데, 여기서 기판은 z-선형 액추에이터를 이용하여 셔틀(70) 쪽으로 하강된다. 다음에 빈 엔드 이펙터(66A)가 챔버로부터 이탈될 수 있다. 다음에 슬릿 밸브(60)는 닫히고 가열 및 진공 처리가 시작된다.
각각의 로드 록 챔버(50, 52)와 관련된 것은 이송 셔틀(70, 72)로서, 이들은 챔버 사이에서 기판을 이송시키도록 구성된다. 제 1 및 제 2 셔틀(70, 72)은 기판을 가열 로드 록 챔버(50)로 삽입하고 냉각 로드 록 챔버(52)로부터 제거하는 동안 가열 및 냉각 로드 록 챔버에 배치된다. 이송 셔틀(70, 72)은 스테인리스 강철, 인바르(invar), 세라믹 또는 그와 유사한 물질로 만들어질 수 있다. 인바르가 바람직한데, 이는 낮은 열 팽창계수를 가지기 때문이다.
로드 록 챔버(50, 52)에는 관리 윈도우 또는 슬릿(152)(도 1)이 장착될 수 있다. 이들 윈도우(152)는 관리 또는 수리를 위하여 로드 록으로부터의 부품 제거를 허용한다. 상기와 같은 관리 상태 중에, 셔틀과 챔버 부품 모두가 수리될 수 있다.
도 1, 2b-2c, 4 및 7d-7e에서, 각각의 셔틀(70, 72)은 인접한 처리 챔버로 관련 로드 록 챔버로부터 접하는 제 1 단부(31A) 및 제 1 단부와 대향하는 제 2 단부(31B)를 가진다. 각각의 셔틀은 제 1 및 제 2 면(32A, 32B)을 더 가진다. 셔틀은 서로 미러 이미지일 수 있으며 서로 접하여 배치된다.
특히, 도 4에서, 각각의 셔틀은 셔틀의 각각의 제 1 및 제 2 측면을 따르는 제 1 및 제 2 측면 레일(74A, 74B)을 포함한다. 두 측면 레일은 실질적으로 셔틀의 제 1 및 제 2 단부 사이에서 연장한다. 측면 레일은 서로 평행하고 일정간격을 유지한다. 각각의 측면 레일은 일반적으로 평탄한 수평 스트립(75)을 포함한다. 각각의 스트립(75)의 하부의 바깥쪽 부분을 따라, 레일은 랙(76)을 가진다. 각각의 랙의 하부의 바깥쪽 부분(77)은 각진 치형부(33)(치형 모양은 도시안됨)를 가진다. 각각의 랙의 하부의 안쪽 부분(78)은 이하에 설명되는 바와 같이 다수의 가이드 롤러와 맞물리도록 평탄하다. 제 1 및 제 2 교차 부재(80A, 80B)는 각각 셔틀의 제 1 및 제 2 단부(31A, 31B)에 인접하여 제 1 및 제 2 측면 레일(74A, 74B)을 서로 구조적으로 연결시킨다. 각각의 교차 부재는 셔틀의 관련 단부로부터 약간 리세스되며, 각각의 교차 부재는 평평하고 중앙에서 수평으로 연장되는 스트립(82)을 포함한다. 제 1(83A, 84A) 및 제 2(83B, 84B) 레그는 스트립의 제 1 및 제 2단부로부터 달려있으며 제 1 및 제 2 측면 레일에 상기 단부를 연결시킨다.
"X"는 기판 중심의 위치를 나타낸다. 이 X 위치는 기판을 최적으로 처리하기 위하여 수평면에서 측정했을 때 대략 처리 챔버의 중심과 일치한다. 기판 지지 핑거(86A, 88A, 86B, 88B)는 각각 관련된 제 1 및 제 2 측면 레일로부터 안쪽으로 연장된다. 도 4 및 5를 참조로, 각각의 지지 핑거는 관련 측면 레일(75)로부터 상방향으로 연장되는 근위단 부분(90) 및 상기 근위단 부분으로부터 안쪽으로 수평으로 연장되며 팁에서 종료하는 원위단 부분(92)을 가진다. 팁에서, 각각의 핑거의 상부면은 셔틀에 의하여 유지되는 기판을 지지하기 위한 패드(94)를 가진다. 셔틀이 기판을 가열하기 위하여 이용되는 온도(약 460℃ 이상)를 견뎌야하기 때문에, 패드(94)는 바람직하게 세라믹, 스테인리스 강철, 석영 또는 그 외의 적합한 물질로 만들어질 수 있다.
그러나 기판 이송 셔틀 부품에 대한 온도 요구조건은 이전 시스템에서 보다 낮다. 클러스터 툴과 같은 많은 종래 시스템에서, 기판은 처리 챔버에 기판을 이송하는 진공 로봇에 의하여 가열 챔버로부터 이탈되어 기판을 냉각시켰다. 기판 과열에 대한 해결책으로서 이송될 때 기판을 냉각시키고자 했다.
본 발명에서, 기판 이송 셔틀(70)은 기판을 가열 챔버로부터 직접 처리 챔버로 이동시킨다. 따라서, 기판의 과열이 완화되거나 제거된다.
도 5는 또한 내부 및 외부 챔버벽(38B, 38A)을 각각 도시한다. 슬롯(38C)은 내부벽(38B)에 배치되어 셔틀의 평평한 레일(75)이 벽(38B)의 개구부로 연장되어 롤러(98)와 맞물리도록 한다. 이렇게 하여, 가이드 롤러(98)에 의한 오염이 최소화된다. 또한, 챔버내에서 수행된 공정은 셔틀 이동을 야기하는 기계적 부품과 별도로 유지된다.
리프트 포크(66A, 66B)의 폭은 셔틀(70)의 한쪽 측면을 따라 두 개의 외부 지지 핑거(88A, 88B)사이의 거리와 가깝거나 이보다 적을 수 있다. 포크의 중심부의 컷아웃 부분은 충분히 커서 중심의 지지 핑거(86A)를 방해하지 않도록 한다. 도 2b-2c 및 7e의 실시예에서, 대각선 지지 핑거가 이용되며 포크 폭은 더 클 수 있다. 도 2b-2c 및 7e의 바람직한 실시예에서, 각각의 측면 레일과 관련된 3개의 지지 핑거가 존재한다(중심 지지 핑거(86A, 86B) 및 두 개의 측면 대각선 지지 핑거(88A, 88B)). 각각의 지지 핑거는 바람직하게 기판의 길이 또는 대각선과 같이 치수의 약 15-30% 정도 연장하여 기판을 적절하게 지지하는데 더욱 바람직한 것은 기판 길이(0.22ℓ)의 약 22% 이상 연장되는 것이다. 도 2d에서, 상기와 같은 배치는 기판(126)이 가열될 경우, 기판 가요성에 의한 휨이 기판이 경로를 따라 이동될 때 휘는 기판에 의하여 최소한으로 발생되도록 한다. 특히, 이러한 구조로 핑거(86A, 86B, 88A, 88B) 및 패드(94)를 형성함으로써, 패드가 약 22%포인트에 배치될 경우, 하나의 처리 챔버에서 다른 처리 챔버로 이동되거나 처리 챔버에서 로드 록 사이에서 이동될 때 휜 기판에 의한 영향이 최소가 되도록 한다. 따라서, 기판이 예를 들어 플래튼 또는 서셉터에 충돌할 기회가 상당히 감소된다. 이러한 고려는 특히 TFT가 평판 디스플레이를 위해 형성되는 유리 기판에 중요한데, 이들 유리 기판은 단지 0.7-1mm 두께를 가지기 때문이다.
패드(94)의 높이 역시 중요하다. 상기 높이는 가열된 기판이 구부러질 때 기판 에지가 핑거와 직접 접촉하지 않도록 선택되어야 한다. 기판 품질에 대한 이러한 특성의 중요성은 처리 요구조건에 따른다.
상기와 같은 구성의 다른 장점은 동일한 지지 핑거가 여러 사이즈의 기판을 지지하는데 이용될 수 있다는 것이다. 또한, 지지 핑거의 위치는 여러 기판 사이즈를 수용하도록 조절될 수 있다. 패드(90)의 위치는 또한 다른 기판 사이즈를 수 용하도록 변화될 수 있다. 로드 록 챔버(50)와 관련된 셔틀은 높은 온도를 견딜 수 있도록 설계되지만, 로드 록 챔버(52)와 관련된 셔틀은 상기 요구조건에 대하여 관대한데, 이는 최대 처리 온도를 받지 않기 때문이다.
도 1, 4 및 7d는 측방향 지지 핑거(88A, 88B)가 대각선은 아니지만 지지 핑거(86A, 86B)를 지지하기 위하여 평행한 선택적인 실시예를 도시한다. 기판을 적절하게 지지하는 한, 다른 각진 핑거가 이용될 수 있다.
상기 설계는 각각의 셔틀이 두 개의 방향(각각 서로로부터 90°)으로부터 기판을 받아들이도록 한다. 먼저, 셔틀은 측면 레일(74A, 74B)과 수직인 방향으로 기판을 받아들이고 배출할 수 있다. 다음에, 셔틀은 측면 레일(74A, 74B)과 평행한 방향으로 기판을 받아들이고 배출할 수 있다. 상기 실시예중 하나에서, 다수의 스톱퍼(201)는 도 2b-2c, 4-5 및 8a-8b에 도시된 바와 같이 지지 핑거상에 기판을 정확하게 배치하고 이송 중에 우발적으로 셔틀 상에서 기판이 이동하는 것을 방지하기 위하여 제공될 수 있다. 기판은 또한 다수의 스톱퍼(201)를 이용하여 핑거상에 센터링 될 수 있다. 스톱퍼(201)는 예를 들어 역상의 절두체와 같이 일반적인 형태의 역상의 끝이 잘린 콘형일 수 있다.
아일랜드(도 1, 3, 5 및 7a-7c)의 각 면을 따라, 각각의 로드 록 챔버 및 각각의 처리 챔버는 셔틀이 챔버를 통과할 때 하나 또는 두 셔틀을 지지하고 유도하도록 배치된 다수 쌍의 가이드 롤러(98)(예를 들어, 처리 챔버 측면당 두 개의 롤러 및 로드 록의 측면당 3개의 롤러)를 포함한다. 가이드 롤러(98)는 Teflon
Figure 112006006626853-pct00034
-코팅 알루미늄, Vespel
Figure 112006006626853-pct00035
, 또는 입자를 발생시키지 않으며 진동을 감쇠시키도록 연한 기타 적당한 물질일 수 있다. 선택적으로, 평활한 이동을 제공하도록 서스펜션이 이용될 수 있다.
가이드 롤러들은 실질적으로 모두 동일 평면에 있으며 셔틀이 전후로 이동되는 고정 경로를 한정한다. 가이드 롤러들은 셔틀이 가이드 롤러를 통과할 때 각각의 랙의 하부의 평평한 안쪽 부분(78)과 맞물리도록 구성되어 셔틀의 위치설정하고 방향을 설정하고 예정된 경로를 따라 셔틀이 평활하게 이동하도록 한다.
도 3에서, 각각의 처리 챔버(54A-54C)와 로드 록 챔버사이에는 챔버 격리 밸브가 배치되는데, 상기 밸브의 하우징은 셔틀 구동 메커니즘(100)을 포함할 수 있다. 도 1, 3 및 9에 도시된 바와 같이, 구동 메커니즘(100)은 챔버(50, 50A) 사이에 배치되고, 구동 메커니즘(100')은 챔버(54A, 54B) 사이에 배치되며, 구동 메커니즘(100")은 챔버(54B, 54C) 사이에 배치되며, 구동 메커니즘(100'")은 챔버(54C, 52) 사이에 배치된다. 구동 메커니즘(100)과 관련하여, 구동 메커니즘과 챔버(50)사이에 어떠한 밸브 도어도 없기 때문에 상기 구동 메커니즘은 챔버(50)내에 있는 것으로 생각될 수 있다. 따라서, 이러한 구동 메커니즘을 때때로 '제 1챔버용 구동 메커니즘'이라고 한다. 따라서, 예를 들어 구동 메커니즘(100')은 "제 2챔버용 구동 메커니즘'이다. 이들 구동 메커니즘을 설명할 때, 용어 "구동 메커니즘(100)"은 모든 상기와 같은 구동 메커니즘에 대하여 적용하는 것으로 이해되어 이용된다. 또한, 각각의 구동 메커니즘(100)은 작업 경로의 관련 부분을 따라 셔틀 랙을 이동시키도록 셔틀 랙과 맞물리는 피니온 기어(106)와 관련된다. 따라서, 예를 들어, 구동 메커니즘(100)은 피니온 기어(106)를 구동시키고, 구동 메커니즘(100')은 피니온 기어(106')를 구동시킨다.
상기와 같은 구성에서는 밸브 하우징 내에 구동 메커니즘이 배치되어 예를 들어 TFT 제조시 요구됨에 따라 처리 챔버내에서 입자 오염을 감소시킨다. 상기와 같은 아일랜드 배치는 또한 고도의 모듈 방식을 용이하게 하는데, 이는 각각의 챔버가 유사한 구조로 되어 있고 교환될 수 있기 때문이다. 각각의 격리 밸브의 하우징 내에 하나의 구동 메커니즘이 있을 경우, 이용되는 셔틀의 길이는 일반적으로 이하에 설명되는 바와 같이 구동 메커니즘들 사이의 관련 거리보다 길다. 또한, 이용되는 셔틀의 전체 길이는 이들이 통과하는 처리 챔버의 길이 보다 일반적으로 길다.
도 6a에 도시된 바와 같이, 각각의 구동 메커니즘(100)은 관련 챔버의 내부 캐비티 외부에 있으며 로드 록 또는 밸브 하우징의 내부로 연장되는 구동 샤프트 어셈블리(104)에 연결된 모터(102)를 포함한다. 내부 챔버벽(38B)은 명확하게 도시되지는 않았다. 구동 샤프트 어셈블리(104)는 진공-호환 로터리 피드스루를 이용할 수 있다. 구동 샤프트 어셈블리는 제 1 및 제 2 피니온 기어(106A, 106B)를 관련 챔버의 제 1 및 제 2 측면에 인접하게 이동시키기며, 제 1 및 제 2 기어 롤러(108A, 108B)는 제 1 및 제 2피니온 기어의 바로 안쪽으로 이동시킨다. 피니온 기어는 예를 들어 피니온당 16개의 치형부를 가질 수 있으며 랙의 외부 치형 부분(33)과 맞물리도록 구성되며, 한편 가이드 롤러는 구동 메커니즘을 통과하는 셔틀의 랙의 안쪽 부분의 평탄면과 접촉하도록 구성된다(도 4 및 5참조). 선택적으로, 구동 메커니즘(100)은 관련 구동 샤프트 어셈블리의 회전에 응답하여 제어 시스템(111)에 입력을 제공하는 인코더(110)를 포함한다. 제어 시스템(111)은 여러 챔버의 동작 및 아일랜드 외부의 핸들링 또는 처리 장치의 동작을 제어하기 위하여 각각의 여러 챔버 또는 이들 중 하나에 연결될 수 있다. 제어 시스템은 적당한 소프트웨어 또는 펌웨어가 결합된 사용자 프로그램가능 컴퓨터 또는 수치 제어기를 포함할 수 있다.
도 6b는 구동 샤프트가 이용되지 않은 선택적인 구성을 도시한다. 이 구성에서, 셔틀은 한쪽으로부터만 구동되며, 모터는 구동 샤프트 어셈블리(104)를 이용하지 않고 피니온 기어(106)를 구동시킬 수 있다. 가이드 롤러(108A, 108B) 이외에 측방향으로 위치한 가이드 롤러(203)가 이용될 수 있어, 셔틀이 한쪽으로만 구동되어 수평의 직선 방향으로 이동하지만 오정렬이 발생하지 않는다. 롤러(203)는 셔틀(70)을 직선 및 제어된 방향으로 이동시키도록 가이드 레일(112)의 각 측면 상에 배치된다.
이들 실시예에서, 가이드 롤러가 피니온 기어의 내부에 위치하는 것은 중요한 것이 아니다. 사실, 선택적인 실시예에서, 가이드 롤러는 피니온 기어의 외부에 배치되거나 또는 상대 위치는 챔버 라인의 각 측면에 대하여 다를 수 있다. 다른 실시예에서, 롤러는 기판 이송 셔틀 상에 배치되고 평탄한 평면 리지는 챔버 라인의 각각의 측면을 따라 배치되어 셔틀 가이드 롤러를 지지하도록 한다.
하기 설명에서, 기판을 로드 록 챔버에 배치하는 것은 도 7a-7e와 관련하여 설명된다. 도 7a-7e의 설명에서, 기판이 배치되는 지지부를 플래튼이라고 한다. 플래튼은 기판을 이송할 때 셔틀 핑거가 이동될 수 있는 슬롯을 가진다. 로드 록 챔버로부터 처리 챔버에 기판을 배치하는 것은 도 8a-8b를 참조로 설명된다. 도 8a-8b의 설명에서, 기판이 배치되는 지지부를 서셉터라고 한다. 서셉터는 이하에 설명되는 바와 같이 기판을 이송할 때 이용되는 연장가능한 "T"형 핀을 가진 통로를 가진다. 플래튼과 서셉터에 대한 상기 정의는 단순히 명확하게 하기 위한 것이다. 처리 챔버의 서셉터는 용어 "플래튼"과 동일한 의미로 사용되며, 로드 록에서의 플래튼은 용어 "서셉터"와 같은 의미이다.
도 7a-7c에 도시된 바와 같이, 각각의 로드 록 챔버(50, 52)(챔버(50)만이 도시됨)는 처리 전후에 가열 또는 냉각 중에 기판을 지지하기 위한 플래튼(120)을 포함한다. 페데스털(122)은 플래튼(120)을 지지하며 제 1 또는 후퇴 위치 및 제 2또는 돌출 위치 사이에서 플래튼(120)을 상승 및 하강시키도록 상승 및 하강 가능하다. 플래튼(120)은 일반적으로 사각형이며 기판(126)의 평면 영역보다 약간 크며 플래튼의 양쪽면으로부터 안쪽으로 연장되는 다수의 채널(124)(도 7d 및 7e)을 가진다. 채널은 이하에 설명되는 바와 같이 플래튼(120)이 셔틀(70)을 통하여 상승 또는 하강될 때 셔틀(70)(또는 72)의 핑거(86A, 86B, 88A, 88B)를 수용하도록 구성된다.
처음에, 로드 록 챔버(50)는 비어 있으며 밸브(56A)에 의하여 인접 챔버(54A)로부터 차폐된다. 로드 록 챔버(50)는 대기로 환기되고 그의 슬릿 밸브(60)는 개방되어 기판이 아일랜드 내부로 삽입되도록 한다. 도 7a에 도시된 바와 같이, 기판(126)은 로봇 엔드 이펙터(66A)에 의하여 로드 록 챔버(50)로 로딩된다. 도 7a-7c는 본질적으로 아일랜드의 하부도를 도시한다. 엔드 이펙터 및 기판은 수평(y-방향) 이동을 통하여 엔드 이펙터(66A)의 하부가 셔틀(70)의 핑거(88A, 88B) 위에 놓이는 높이에서 챔버(50)로 삽입된다. 기판(126)을 이송하는 엔드 이펙터(66A)는 플래튼 위에 중심이 놓이도록 배치된 기판(126)에 의하여 중지되고 z-방향 액추에이터에 의하여 하강된다. 결국, 엔드 이펙터(66A)는 도 7b에 도시된 제 2 높이에 도달한다. 제 1 높이와 제 2 높이 사이에서 이동하는 동안, 엔드 이펙터는 셔틀 핑거의 하부를 통과하고, 예를 들어 엔드 이펙터(66A)의 하나의 살(tine)은 중심 핑거(86A, 86B)의 각각의 측면 위 그리고 인접하는 측방향 지지 핑거(88A, 88B)의 바로 안쪽을 통과한다. 엔드 이펙터(66A)의 상부면이 핑거의 팁에서 패드(94)의 높이에 도달하면, 패드(94)는 기판(126)의 하부와 맞물려 셔틀(70)이 엔드 이펙터(66A)로부터 기판(126)을 잡도록 한다. 엔드 이펙터(66A)는 도 7b에 도시된 위치에 도달하면, 수평 전이를 통하여 로드 록 챔버(50)로부터 이탈된다. 엔드 이펙터(66A)가 이탈되면, 밸브(60)는 닫히고 챔버(50)는 펌핑 다운된다.
다음에 플래튼(120)은 도 7a의 초기 높이로부터 도 7c의 상승된 높이로 상승될 것이다. 초기 높이와 상승된 높이 사이에서 이동하는 동안, 플래튼(120)은 셔틀 핑거 주위를 통과하며, 각각의 핑거는 채널(124) 중 관련된 채널에 의하여 수용된다(도 7d, 7e 참조). 플래튼(120)의 상부면이 기판(126)의 하부와 접촉하면, 기판(126)을 핑거(특히 패드(94))로부터 벗어나도록 상승시켜 셔틀(70)으로부터 기판(126)을 잡도록 한다. 따라서 셔틀은 로드 록 챔버에 유지되거나 또는 처리 챔버로 이동될 수 있다. 기판(126)이 도 7c에 도시된 바와 같이 플래튼(120)에 의하여 유지되면, 기판(126)은 가열되거나 처리될 준비가 된다.
다중 기판 카세트(도시안됨)가 또한 로드 록 챔버(50 또는 52)에 이용될 수 있다. 다중 기판 카세트에서 각각의 기판에 대해 상기 과정을 반복함으로써, 로드 록 챔버는 공정 전후에 기판 저장을 위한 버퍼로서 이용될 수 있다. 다중 기판 카세트의 상세한 설명은 "인슈트 기판 이송 셔틀"이란 명칭의 미국출원 09/082,876에 개시되어 있으며, 이는 여기에 참고된다.
기판(126)이 가열되면, 플래튼(120)은 하강되고 도 7b의 위치로 복귀되며, 셔틀(70)은 공정에서 플래튼(120)으로부터 기판(126)을 다시 잡는다.
로드 록 챔버(50)의 셔틀(70) 상에 기판(126)이 지지될 경우, 기판(126)의 가열 및 로드 록 챔버(50)와 처리 챔버(54A)의 펌핑 다운 후에, 밸브(56A)는 개방되어 로드 록 챔버(50)와 처리 챔버(54A)가 연통되도록 한다. 셔틀이 이러한 초기 위치에 있을 때, 로드 록 챔버(50)의 구동 메커니즘(100)의 피니온 기어는 셔틀의 레일의 하부 단부에 인접한 셔틀(70)의 랙과 맞물린다. 기판을 처리 챔버로 이동시키기 위하여, 구동 메커니즘(100)의 모터에는 파워가 제공되어 밸브(56A)를 통하여 하방향으로 그리고 제 1 처리 챔버쪽으로 셔틀을 이동시키도록 한다. 셔틀이 제 1 처리 챔버(54A)에서 목표 위치에 도달하면, 이동이 중지되고 셔틀 및 기판은 목표 위치에 있는다.
도 8a-8b에 도시된 바와 같이, 각각의 처리 챔버는 공정 중에 기판(126)을 지지하기 위한 서셉터(130)를 포함한다. 서셉터(130)의 평면 영역은 기판(126)의 평면 영역보다 약간 크며 서셉터(130)는 공정 중에 기판(126)의 전체 하부면과 접촉하도록 구성된 상부면(132)을 가진다. 서셉터(130)의 상부면(132)은 리프트 핀(134)을 위한 통로에 의하여 발생되는 중단부분을 제외하고 연속되어 있으며, 상기 리프트 핀은 서셉터(130)를 통하여 밑으로부터 연장될 수 있다. 도시된 바와 같이, 서셉터(130)는 서셉터(130)를 상승 및 하강시키기 위하여 상승 및 하강될 수 있는 중심 페데스털(136)을 가진다. 리프트 핀(134)은 하부 단부에서 핀 플레이트(138)에 고정된다. 핀 및 핀 플레이트는 일반적으로 중심 페데스탈(136)을 감싸는 외부 샤프트(139)에 의하여 상승 및 하강된다. 일 실시예에서, 리프트 핀(134) 및 핀 플레이트(138)는 서셉터(130)로부터 독립적으로 이동한다. 리프트 핀(134)이 돌출된 위치에 있을 때, 리프트 핀(134)은 기판을 지지한다. 리프트 핀이 후퇴되면, 기판은 서셉터(130)쪽으로 하강된다. 서셉터(130)가 상승되면, 리프트 핀은 서셉터(130)의 표면(132)의 하부의 위치로 후퇴된다. 핀은 표면(132) 내에 위치된 카운터보어에 의하여 표면(132) 하부를 통과할 수 있다.
본 실시예는 서셉터(130)가 상승될 때 리프트 핀(134)으로부터 서셉터(130)로 기판의 지지부를 용이하게 이송하는 방법을 제공한다. 이러한 핀 시스템의 상세한 설명은 1997년 10월 14일 출원된 미국출원 08/950,277, "개선된 기판 가열 및 냉각을 제공하는 진공 처리 시스템"에 개시되어 있으며, 상기 출원은 본 발명의 양수인에게 양도되었으며 여기에 참고된다.
도시된 실시예에서, 각각의 챔버는 챔버에서 상부로부터 하부로 연장되며 쌍으로 배치되는 6개의 리프트 핀(134)을 포함한다. 지지 핑거와 마찬가지로 동일 이유로서, 리프트 핀(134) 역시 바람직하게 기판(126)의 치수의 약 15-30%, 바람직하게 기판(126)의 폭의 약 22%에 배치될 수 있다. 패드(94) 위치의 원위단의 바로 안쪽에 배치되는 것이 더 바람직할 수 있다. 22%포인트에서 핀 및 패드(94)를 모두 가지는 것이 바람직할 수도 있지만, 상기와 같은 배치는 서로의 주위를 동시에 통과하지 못하도록 한다. 따라서, 핀과 패드가 서로 인접하게 배치되는 것이 바람직하지만, 패드보다는 기판의 중심 라인에 더 가까이 핀이 배치되는 것이 바람직하다. 이 경우, 접촉 없이 상대 이동이 이루어질 수 있다.
리프트 핀(134)은 "T"형의 단면을 가질 수 있다. 전술한 바와 같이, 대응하는 카운터보어는 리프트 핀 홀 주위에서 서셉터(130)에 배치되어 리프트 핀이 완전하게 후퇴될 경우 리프트 핀이 서셉터(130)의 상부면(132)의 수평면 아래에 놓이도록 한다. 따라서 기판은 후퇴된 위치에서 리프트 핀과 접촉하지 않는다. 이런 방식으로, 리프트 핀은 최소의 열적 영향을 받는다. 다시 말해, 리프트 핀(134) 및 서셉터(130)를 통한 리프트 핀의 통로는 서셉터(130)사이의 균일한 온도 분포에 거의 영향을 주지 않으므로 기판(126) 사이의 균일한 온도 분포에 영향을 주지 못한다. 따라서 바람직하게 예를 들어, TFT 형성을 위한 온도 균일성에 대한 높은 공정 조건이 달성될 수 있다.
기판(126)을 보유한 셔틀(70)이 처리 챔버(54A)로 들어갈 때, 기판(126) 및 셔틀 핑거(86A, 86B, 88A, 88B)는 도 8a에 도시된 바와 같이 제 1 높이에 있는 서셉터(130)위를 통과한다. 리프트 핀(134)은 서셉터(130)에 대하여 돌출된 위치에 있거나(도 8a, 8b에 도시된 바와 같이), 또는 후퇴 위치에 있을 수 있다. 기판(126)과 셔틀(70)이 서셉터(130) 바로 위의 목표 위치에서 정지할 때, 서셉터(130) 및/또는 리프트 핀(134)은 상승된다. 리프트 핀 플레이트(138), 리프트 핀(134) 및/또는 서셉터(130)가 상승될 때, 핀(정지되고 돌출된 위치에 있음)은 기판(126)의 하부와 접촉하며(도 8a) 셔틀(70)과 맞물리지 않게 기판(126)을 상승시킨다(도 8b). 이 중간 위치에 기판(126)이 있을 때, 셔틀(70)은 처리 챔버(54A)로부터 이탈되며, 핑거(86A, 86B, 88A, 88B)는 리프트 핀(134) 주위에서 기판(126)과 서셉터(130)사이를 통과하며, 셔틀(70)의 적어도 하나의 교차 부재(80A, 80B)는 기판(126)을 통과한다. 셔틀(70)은 로드 록 챔버(50)로부터 이탈되거나 또는 제 2처리 챔버(54B)로 유도되거나 또는 이를 건너 예를 들어 다른 챔버로 이송함으로써 다른 기판을 처리하도록 한다. 그러나 셔틀(70)이 챔버(54A)로부터 배출되면, 챔버(54A)는 밸브(56A)(그리고 개방되어 있다면 밸브(58A, 56B))를 닫음으로써 밀봉될 수 있다. 다음에 리프트 핀(134)은 서셉터(130)에 대하여 하강되어 서셉터(130) 상부에 기판을 배치하도록 한다.
이때, 공정이 시작될 수 있다. 공정이 완료되고 처리 가스가 배출(필요하다면)될 때, 밸브(56A)가 열려서 로드 록 챔버(50)와 처리 챔버(54A) 사이를 연결시킨다. 물론, 밸브(58A, 56B) 역시 셔틀이 하방향으로 보내지면 열릴 수 있다. 다음에 리프트 핀(134)과 핀 플레이트(138)가 상승되어, 기판이 리프트 핀 상에 지지되도록 서셉터(130)위로 기판을 상승시킨다. 셔틀(70)은 기판(126)을 처리 챔버(54A)로 전달할 때와 유사하게 처리 챔버(54A)로 복귀된다. 셔틀이 목표 위치에 도달하면, 핑거(86A, 86B, 88A, 88B)는 기판(126)과 서셉터(130) 사이를 통과하고 리프트 핀(134) 주위를 통과한다. 교차 부재(80A)는 기판(126) 위를 통과한다. 셔틀(70)이 목표 위치에 도달하면, 서셉터(130) 및/또는 핀(134)은 도 8a의 위치로 하강되며, 이때 핑거(86A, 86B, 88A, 88B)는 핀(134)으로부터 기판(126)을 잡는다.
이때, 기판(126)은 밸브(58A, 56B)를 통하여 제 2 처리 챔버(54B)로 전달될 수 있다. 이러한 이송 단계는 로드 록 챔버(50)로부터 제 1 처리 챔버(54A)로의 이송과 관련된 단계와 유사할 수 있다. 유사한 공정을 통하여, 기판(126)은 제 3 처리 챔버(54C)로 이송될 수 있다. 이는 셔틀(70, 72)에 의하여 수행된다. 끝으로, 기판은 로드 록 챔버(50)에서 제 1 처리 챔버(54A)로 기판을 이송하는 셔틀(70)에 의하여 수행되는 단계와 유사한 역 단계를 통하여 셔틀(72)에 의하여 제 3 처리 챔버(54C)로부터 로드 록 챔버(52)로 이탈될 수 있다.
유사하게, 로봇 엔드 이펙터(66B)에 의하여 냉각 로드 록 챔버(52)로부터 기판(126)을 배출시키는 것은 기판(126)을 가열 로드 록 챔버(50)로 삽입시키는 로봇 엔드 이펙터(66A)에 의하여 이용되는 단계를 역으로 수행함으로써 구현될 수 있다.
리프트 핀(134)의 사용은 또 다른 장점을 제공한다. 챔버중에서, 리프트 핀(134)은 가열되거나 냉각된 서셉터(130) 또는 플래튼(120) 위로 기판(126)을 상승시키기 위하여 이용될 수 있다. 상기와 같은 상승은 기판 온도를 원하는 온도로 상승시키고자하는 한 유지될 수 있다. 예를 들어, 기판(126)을 냉각하지만 서셉터(130)가 고온에 있을 경우, 핀(134)을 상승된 위치로 유지하는 것은 기판(126)을 냉각시키는데 유용할 수 있다.
구동 메커니즘(100)은 하나의 구동 메커니즘으로부터 다른 구동 메커니즘으로 셔틀을 평활하게 이송시키거나 이전시키도록 동기화될 수 있다. 도시된 실시예에서, 도 1을 참조하면, 각각의 처리 챔버 사이 그리고 로드 록 챔버와 이들의 인접한 처리 챔버사이에 구동 메커니즘(100)이 존재한다. 특히, 전술한 바와 같이, 구동 메커니즘(100, 100"')은 밸브에 의하여 인접 로드 록 챔버(50, 52)와 분리되지 않기 때문에, 이들 로드 록 챔버내에 배치된다. 셔틀(70)의 레일(74A, 74B)은 인접 챔버의 구동 메커니즘(100)에 연결될 만큼 충분히 길다. 따라서, 가열중인 로드 록 챔버(50)에서 제 1처리 챔버(54A)로 셔틀을 이동시키기 위하여, 로드 록 챔버(50)의 구동 메커니즘(100)만이 이용된다. 그러나 셔틀을 제 2 처리 챔버(54B)로 더 이동시키기 위하여, 제 1 처리 챔버(54A)와 제 2 처리 챔버(54B)에 의하여 구동되는 로드록 챔버(50)의 구동 메커니즘(100)에 의해(즉, 구동 메커니즘(100') 및 피니온 기어(106') 셔틀은 전환되거나 이전되어야 한다. 이 예에서, 셔틀은 중지하지 않고 가열 로드 록 챔버(50)로부터 바로 제 2처리 챔버(54B)로 구동된다.
이러한 이동을 달성하기 위한 구동 메커니즘의 제어가 도 9a-9f에 도시된다. 처음에, 도 9a에 도시된 바와 같이, 셔틀(70)은 로드 록 챔버(50)의 동작 위치에 있으며, 랙(77)의 치형부(33)는 구동 메커니즘(100)의 피니온 기어(106)에 맞물린다. 유사하게, 셔틀(72)은 로드 록 챔버(52)의 동작 위치에 있다. 구동 메커니즘(100)의 피니온 기어(106)는 셔틀(70)을 제 1처리 챔버(54A) 및 제 1처리 챔버(54A)의 목표 위치(도 9b)로 이동시킨다(목표 위치에서, 셔틀(70)은 챔버의 셔틀(70)로 기판을 교환할 수 있다). 유사하게, 구동 메커니즘(100"')의 피니온 기 어(106"')는 셔틀(72)을 제 3처리 챔버(54C) 및 제 3처리 챔버(54C)의 목표 위치(도 9b)로 이동시킨다.
도 9b에 도시된 바와 같이, 상기 포인트에서, 셔틀(70)이 처리 챔버(54A)에서 서셉터로 기판을 교환하고자 했다면, 셔틀의 이동은 이 위치에서 정지되고 기판이 교환된다. 그러나 이 예에서, 셔틀(70)은 처리 챔버(54B)의 서셉터로 기판을 교환하는 것으로 기술되어 셔틀은 계속 이동한다.
또한, 이 포인트에서 알 수 있는 바와 같이, 셔틀(70, 72)은 반대 방향으로 이동한다. 셔틀(70)은 하방향으로 이동하고 셔틀(72)은 상방향으로 이동한다. 처리 챔버(54B)로 이동하는 셔틀(70)은 그의 랙(77)이 구동 메커니즘(100')의 피니온 기어(106')와 맞물릴 때까지 진행한다. 처리 챔버(54C)로만 이동하는 셔틀(72)은 진행되어 랙(77)이 피니온 기어(106") 또는 (100")과 접촉하기 전에 중지한다. 사실 이 실시예에서, 셔틀(72) 만이 구동 메커니즘(100"')의 피니온 기어(106"')에 의하여 구동되는 것으로 도시된다.
챔버(54C)로부터 처리된 기판을 회수할 수 있는 셔틀(72)의 경우, 이러한 회수는 이동의 종료를 의미한다(도 9c). 셔틀(70)의 경우, 구동 메커니즘(100)에서 구동 메커니즘(100')으로의 이송 제어는 몇 가지 방법에 의하여 이루어진다. 예를 들어, 구동 메커니즘(100')과 셔틀(70)이 맞물린 경우, 이전 구동 메커니즘(100)에 의하여 인가되는 구동력은 랙(77)이 구동 메커니즘(100')의 피니온 기어(106')의 회전을 유도하도록 한다. 이러한 유도된 회전은 구동 메커니즘(100')의 인코더(110)가 제어 시스템(111)에 대한 입력으로 제공되도록 한다(도 6a참조). 단지 하나의 인코더와 제어 시스템만이 도시되었지만, 각각의 구동 메커니즘(100)은 하나의 인코더를 가지며 상기 각각의 인코더는 제어 시스템(111)에 연결된다.
바람직한 실시예에서, 피니온 기어(106)의 초기 회전은 제어 시스템(111)에 소정 신호를 제공하기 위하여 이용되어, 피니온 기어(106') 역시 피니온 기어(106)와 동시에 회전하도록 한다. 이런 방식으로, 동시에 각각이 회전하기 시작한다. 다른 선택적인 실시예에서, 피니온 기어(106')의 회전은 피니온 기어(106)의 회전 후에 시작될 수 있지만, 그전에 피니온 기어(106')가 피니온 기어(106')와 접촉하는 셔틀(70)의 힘에 의하여 이동되도록 유도된다. 상기와 같은 피니온 기어(106')의 회전은 제어 시스템(111)으로부터의 신호에 의하여 이루어지며, 이 신호는 소정 방법으로 지연되지만 피니온 기어(106)의 회전에 의하여 트리거된다.
이들 실시예중에서, 각각의 피니온 기어가 각각의 다른 피니온 기어에 "클록킹"되면, 이하에 설명되는 바와 같이, 각각의 피니온 기어는 서로에 대하여 고정된 각도 관계를 유지할 수 있다. 예를 들어, 하나의 피니온 기어가 소정 시간에 12시 위치에서 치형부를 가지면, 제어 시스템은 인접 피니온 기어를 회전시켜 소정 시간에 12시 위치에 치형부를 가지도록 할 수 있다. 또한, 제어 시스템은 각각의 피니온 기어를 동일 속도로 회전시켜 동일 속도에서 여러 셔틀 상에 기판을 이송하도록 할 수 있다. 다시 말해, 제어 시스템(111)은 셔틀이 소정 기어와 맞물릴 때 융통성없는 형태가 되지 않도록 모든 피니온 기어를 적절한 속도 및 각 위치로 회전시킨다.
도 9c에서, 셔틀(70)은 처리 챔버(54B)의 목표 위치에 있다. 이 위치에서, 셔틀(70)은 챔버(54B)의 서셉터로 기판을 교환할 수 있다. 도시된 바와 같이, 셔틀(70)은 피니온 기어(106')와 구동 메커니즘(100')의 제어하에 있다. 이 목표 위치에서, 셔틀(70)은 챔버(54B)내에 있으며 셔틀(72)은 인접 챔버(54C)내에 있다. 이 경우, 셔틀은 피니온 기어(106")와 접촉하기 전에 이동을 중지한다. 이렇게 하여, 셔틀(70)은 챔버(54B)를 처리하고 셔틀(72)은 챔버(54C)를 처리하는데, 이들 의 레일은 피니온 기어(106")의 중심 바로 위 또는 그 위에서 충돌하지 않는다. 셔틀(70)은 밸브(58B)를 통하여 부분적으로 연장되며, 셔틀(72)은 밸브(56C)를 통하여 부분적으로 연장되지만, 이들은 서로 또는 피니온 기어(106")과 접촉할 정도로 연장되지는 않는다.
도 9d에서, 제어 시스템(111)은 인접 챔버의 인접 셔틀을 우측 또는 좌측으로 동시 이동시킨다(우측이동이 도시됨). 이 경우, 셔틀(70, 72)은 동시에 동일 방향으로 이동한다.
도 9d의 우측 이동의 결과를 도시하는 도 9e에서, 셔틀(70)이 챔버(54C)를 다루는 것이 도시된다. 셔틀(72)이 챔버(52)로 복귀되는 것이 도시된다.
도 9f에서, 셔틀(70)은 다른 챔버로 복귀하기 위하여 좌측으로 이동한다. 이 도면에서, 셔틀(70)은 챔버(54B)를 통과한다. 밸브(58C)가 닫힌 경우, 셔틀(72)은 기판의 이탈이 전술한 방식으로 이루어지도록 소정 위치에 있다.
도 9b 및 9c사이에서 셔틀(70)이 이동하는 것을 참조하면, 셔틀(70)이 피니온 기어(106')와 맞물리는 초기 위치를 지나 소정 거리로 이동되어 랙에 대한 구동 메커니즘(100')의 피니온 기어(106')의 안정된 맞물림이 가정되되도록, 일 실시예에서 제어 시스템(111)은 구동 메커니즘(100')의 모터에 파워를 공급하고 구동 메커니즘(100)의 모터에 대한 파워를 감소시키거나 제거할 수 있다. 따라서, 구동 메커니즘(100')에 의하여 연속된 셔틀(70)의 이동이 제공된다. 바람직한 실시예에서, 전술한 바와 같이, 제어 시스템은 이미 피니온 기어(106') 및 구동 메커니즘(100')에 파워를 공급한 상태이다.
유사한 공정에 의하여, 기판은 거의 모든 셔틀을 통하여 소정 챔버로 임의로 이동될 수 있다. 물론, 셔틀(70)만이 로드 록 챔버(50)에 서비스하고 셔틀(72)만이 로드 록 챔버(52)에 서비스한다. 밸브(56A-56C 및 58A-58C)의 적당한 제어 역시 이들 도면에 도시된다. 기타 이동의 상세한 설명은 전술한 것과 유사하다.
평활한 이송을 보장할 수 있는 하나의 방법은 피니온 기어(106)를 미리 보정하거나 또는 "클록킹"하는 것이다. 기어를 미리보정하기 위하여, 셔틀(70)은 두 개의 인접 피니온 기어 상에 배치되는데, 셔틀의 치형 랙은 서로 각각의 기어에 맞물린다. 둘 중 하나 또는 두 기어 모두는 약간 회전하여 각각의 기어가 랙에 최적으로 접촉하도록 한다. 이는 인접 기어를 "클록킹" 하여 제어 시스템이 서로 동상으로 기어를 회전시키도록 한다. 또한, 이러한 동작은 시스템의 동작 온도에서 수행될 수 있어 열적 팽창이 고려된다. 바람직한 실시예에서, 랙이 제 1피니온 기어에서 제 2피니온 기어로 이동할 때, 제 2피니온 기어는 제 1피니온 기어가 회전될 때 동시에 회전된다. 동시 회전에 의하여, 제 2피니온 기어는 항상 제 1피니온 기어에 대하여 "클록킹" 방향을 유지한다. 따라서, 랙이 제 2피니온 기어에 도달할 때, 제 2피니온 기어는 정확하게 시간적으로 접촉하고 맞물리며, 랙은 양호한 방향을 가진다.
구동 메커니즘(100)은 제어 시스템의 명령에 따라 파워가 제공될 수 있다. 상기와 같은 명령이 주어질 때를 결정하는 것은 시스템내의 여러 가지 인코더 및/또는 구동 메커니즘으로부터의 정보를 기초할 것이다. 상기와 같은 정보는 시스템의 여러 셔틀이 배치되는 위치, 현재 이동 상태 및 동기화시키기 위하여 파워를 요구하는 구동 메커니즘을 결정하기 위하여 이용된다.
처리 챔버(54A, 54B)와 같은 두 개의 인접한 처리 챔버가 동일 공정을 수행하는 경우에, 기판은 전술한 바와 같이 처리 챔버로 로딩될 수 있다. 즉, 기판은 챔버(54B)로 로딩되고 챔버(54B)에서 공정이 시작되며, 다음에 다음 기판이 처리 챔버(54A)에 로딩된다. 이런 방식으로, TACT 시간이 최소화될 수 있다.
공정 순서의 일부 설명은 도 13과 관련하여 나타나 있다. 참조 문자는 이하의 표 Ⅰ 및 Ⅱ의 목록을 설명하기 위하여 것이다. 로딩 로드 록은 LL로 표시되며, 처리 챔버는 A 내지 N으로 표시되며, 언로딩 로드 록은 UL로 표시된다. 처리될 기판(1-k) 역시 도시된다. 일반적으로, 대문자는 처리 챔버를 나타내고 소문자 또는 숫자는 기판을 나타낸다. 다음 표시가 또한 이용될 수 있다.
Hj = 기판(j)의 가열
Cj = 기판(j)의 냉각
PjK = 처리 챔버(K)에서 기판(j)의 처리
TK = 처리 챔버(K)에서 기판에 대한 처리 시간
TL = 기판을 로딩하는데 필요한 시간
TC = 기판을 냉각시키는데 필요한 시간
표 Ⅰ
하나의 필름 시스템, 각각의 처리 챔버는 동일 공정을 수행함
TL 및 TC가 TK보다 상당히 크면, 이 시스템에 기판은 다음과 같이 로딩되고 처리된다.
단계 동작(들)
1 1은 LL로
2 1는 N으로, 2는 LL로
3 2는 (N-1)로, 3은 LL로, P1N
4 3은 (N-2)로, 4는 LL로, P1N.P2(N-1)
. .
. .
. .
X .... 1은 UL로, 등등
다시 말해, 마지막 처리 챔버가 첫 번째로 로딩되어, 처리가 마지막 처리 챔버의 기판에 대하여 완료될 때, 언로딩된 로드 록으로 즉시 오프로딩될 것이다. 다른 공정이 이로부터 연장될 수 있다.
표 Ⅱ
두 개의 필름 시스템, 두 개의 처리 챔버(A 및 B)
단계 동작(들)
1 1은 LL로
2 1은 A로, 2는 LL로
3 P1A
4 1은 B로, 2는 A로
5 P1B, P2B
6 1은 UL로, 2는 B로
7 P2B
8 2는 UL로
다른 방법이 필요한 공정에 따라 개발될 수 있다.
전술한 바와 같이, 모든 모터는 하나의 다중 액세스 제어기 카드에 의하여 구동되어 각각의 모터가 제어된 이동 형태를 따르도록 한다. 이런 방식으로, 속도의 느린 증가가 일정 속도로 상승하는 동안 이용되고 느린 감소는 속도 하강 동안 이용된다. 실시예에서, 셔틀이 로드 록 챔버(50)에서 챔버(54B)로 이동되는 경우, 모터가 일정 속도에 도달할 때, 셔틀은 전체 이동 거리의 중간 정도에 위치할 수 있다. 챔버(54B)와 관련된 구동 메커니즘(100')(도 9a-9f)은 일정한 속도를 유지하면서 셔틀을 허용하고, 대체로 감속을 수행한다. 일반적으로, 구동 메커니즘은 속도 증가, 속도 감소 또는 일정 속도 지점에서 결합되며, 보다 일반적으로 저속으로 결합되고 분리된다.
기판이 예를 들어 챔버(54B)와 같이 선택된 챔버로 들어갈 때, 기판과 셔틀이 그들의 목표 위치에 있을 때를 결정하기 위하여 센서가 이용될 수 있다. 이는 특히 기판 가열이 셔틀 부품의 열적 팽창을 유도하기에 충분한 경우에 중요하다. 이 경우, 셔틀과 기판이 냉각될 때 결정된 위치와 같은 소정 위치가 재현될 수 없다. 따라서, 기판과 셔틀 위치의 능동적인 검출이 중요하다.
특히, 처리 챔버는 챔버의 가열에 의하여 열적 팽창될 수 있다. 소정 챔버 또는 챔버 타입이 상이한 온도에서 공정을 수행하도록 이용된다면, 팽창 정도는 여러 가지 용도에 따라 다를 것이다. 예를 들어, 기판 위치가 챔버 인입구에 대하여 제어되는 경우, 기판 이송 시스템이 제 1 온도에서 기판을 챔버의 목표 위치와 정렬되도록 설정하면, 기판은 챔버가 제 2 온도에 있을 때 목표 위치와 정렬되지 않을 수 있다.
도 10에서, 각각의 챔버는 횡단 중심면(304)을 가진다. 각각의 횡단 중심면(304)은 관련 챔버를 통하여 셔틀 경로로 횡단하도록 연장되며 상기 기판이 챔버에서 처리되길 원하는 위치에 있을 때 기판의 횡단 중심라인에 의하여 한정되는 목표 위치와 일치한다. 각각의 처리 챔버는 상기 챔버의 횡단 중심면(304)내에 위치한 센서(306)를 포함한다. 챔버 중심의 위치는 챔버의 외부 위치가 열팽창 때문에 변경되더라도, 온도와 관련하여 변동되지 않는다. 따라서 센서(306)의 위치는 챔버에 기판을 배치하기 위한 목표 위치에 대하여 온도와 관련하여 변동되지 않는다. 또한, 대칭성에 의하여, 우측 및 좌측 셔틀은 모두 동일 세트의 센서를 쉽게 이용할 수 있다.
센서(306)는 셔틀 경로를 따라 센서(306)에 의하여 통과하는 셔틀의 트리거링 특성과 상호작용하도록 구성된다. 도 10a의 실시예에서, 센서(306)는 광검출기(307B) 쪽으로 광을 전송하는 광방출기(307A)를 포함할 수 있다. 광빔은 센서(306)에 인접하여 통과하는 셔틀 레일(74A)의 전방 에지에 의하여 중단될 수 있다. 빔의 중단은 센서가 제어 시스템(111)에 입력 신호를 전달하도록 하여 셔틀이 처리 챔버의 중간 위치(짧은 목표 위치)에 도달했는지를 나타낸다. 도 10에 도시된 바와 같이, 레일의 전방 에지는 셔틀(70)상의 원하는 위치에 유지되는 기판(126)의 횡단 중심라인(309)에 의하여 한정되는 셔틀(70)상의 기판 포함 위치의 앞쪽으로 소정 거리(D)로 배치된다. 센서로부터의 입력 신호에 응답하여, 제어 시스템은 셔틀(70)이 중간 위치로부터 앞쪽으로 더 유도되도록 하여, 셔틀(70)이 동일 거리(D) 만큼 전방향으로 이동될 때 셔틀(70)의 이동을 종료시켜 셔틀(70)이 챔버로 기판을 이송시키기 위하여 원하는 위치에 중지되도록 한다. 또한, 이하에 설명되는 바와 같이 에러 보정이 이용될 수 있다.
기판 이송 셔틀(70)이 원하는 위치에 배치되면, 기판은 전술한 바와 같이 서셉터(130)상에 배치될 수 있으며, 리프트 핀 위에 배치될 수도 있다. 다음에 기판은 원하는 대로 처리될 것이다.
시스템 설계, 특히 적당한 거리(D)의 선택에 있어서 다수의 요인이 영향을 줄 수 있다. 모든 다른 요인은 동일하며, 위치 정확성을 최대화하는 것은 거리(D)의 최소화와 관련된다. 그러나 (이동 중에 있을 경우) 셔틀과 기판의 평활한 제어 감속을 가능하게 하기 위해서는, 거리(D)가 커야 한다.
소정 거리(D)만큼 셔틀을 이동시키는 것은 구동 기어 또는 기타 구동 엘리먼트의 소정 회전과 관련될 수 있다. 거리(D)의 일관성은 셔틀 및 구동 기어와 같은 구동 메커니즘의 부품의 열적 팽창에 의하여 영향을 받는다. 상기와 같은 팽창의 영향은 여러 가지 방법으로 미소하게(챔버의 팽창에 비하여) 감소될 수 있다.
먼저, 셔틀과 피니온 기어는 처리 챔버의 구성에 이용되는 물질 보다 낮은 열팽창 계수(CTE)를 가진 물질로 형성될 수 있다. 예를 들어, 셔틀과 피니온 기어에 INVAR36 강철을 이용하면 상기 영향이 감소된다. INVAR 36 강철의 선형 CTE는 0.54x10-6/℃이며, 이는 통상적인 스테인리스 강철의 약 1/30이며 알루미늄의 1/40이다.
두 번째, 도 3에 도시된 바와 같이, 구동 메커니즘은 밸브(56A-56C 및 58A-58C)의 하우징 내에 배치되어 처리 챔버의 처리 섹션으로부터 분리될 수 있다. 따라서 구동 메커니즘은 처리 챔버의 나머지 부분처럼 동일한 과도한 온도를 받지 않을 수 있다.
마지막으로, 도시된 실시예에서, 처리 중에, 셔틀은 상기 처리를 수행하는 챔버 외부에 배치되어 다른 시스템 부품의 과도한 온도를 받지 않는다.
다른 감지 시스템이 이용될 수 있다. 예를 들어, 도 12에서, 레이저(312)가 상기 광검출기(307B) 대신 셔틀(70)의 교차 부재(80A)의 전방 에지를 감지하기 위하여 이용될 수 있다.
또한, 레이저는 셔틀(70)상의 기판의 오정렬을 검출하기 위하여 이용될 수 있다. 셔틀(70)이 거리"x"를 이동한 후에, 기판(126)의 리딩 에지(314)를 감지하기 위하여 레이저(312)가 이용될 수 있다. 레이저가 고정된 상태를 유지하기 때문에, 감지 이벤트 사이의 시간과 공지된 셔틀 속도의 곱은 거리(y)와 같다. 선택적으로, 인코더(110)(도 6a 참조)는 레이저가 이들 이벤트를 감지할 때 모터 위치를 알기 위하여 이용될 수 있다. 시스템은 기판 및 교차 부재의 외부 에지 사이의 거리에 대한 공지되고 예정된 최적 간격을 메모리에 저장한다. 따라서, x가 이러한 최적 거리와 동일하면, 기판은 적절하게 셔틀 상에 정렬된다. 동일하지 않다면, 적절한 단계가 취해져서 기판이 소정 챔버에 대하여 정확한 목표 위치에 배치되도록 구동 메커니즘을 증속구동 또는 감속구동시킨다.
다른 실시예에서, 도 14에 도시된 바와 같이, 셔틀(70)은 제 1처리 챔버(54A)의 중심 라인(352)상에 배치된다. 물론, 이 시스템은 로드 록 또는 다른 타입의 챔버로 연장될 수 있다. 자석(356)은 중심 라인(358)에서 셔틀(70) 상에 장착된다. 여기서 중심라인(358)은 챔버(54A)의 중심라인(352)과 일치하며 교차 부재(80A, 80B)에 대하여 거의 동일하다. 홀 효과 센서일 수 있는 두 개의 자석 센서(360A, 362A)는 챔버의 외부에 배치되고 중심라인(352)에 대하여 대칭으로 배치된다. 유사한 센서 세트가 다른 챔버를 위하여 제공된다. 바람직하게 아날로그 타입 센서가 이용될 수 있다.
중심라인(358)이 중심라인(352)과 일치하도록 셔틀(70)이 배치될 때, 셔틀(70)은 챔버(54A)의 중심에 배치될 것이며 자석(356)은 센서(360A, 362A) 사이에 센터링된다. 이 경우, 두 센서의 아날로그 전압 출력은 동일 레벨에 있다.
이 시스템은 에러 보정 방식에 이용될 수 있다. 셔틀 이동이 이루어진 후에, 센서 상의 전압이 체크되어 전압이 동일한지가 결정된다. 동일하지 않다면, 구동 메커니즘(100)을 제어하기 위하여 제어 시스템(364)에 대한 피드백이 이용될 수 있다. 다음에 구동 메커니즘(100')은 두 센서의 전압이 동일할 때까지 셔틀(70)을 이동시키도록 제어될 수 있어 셔틀이 정확하게 배치되도록 한다. 이 시스템은 각각의 구동 메커니즘과 챔버에 대하여 반복된다. 필요하다면, 동일한 제어 시스템 컴퓨터가 구동 메커니즘에 대한 피드백과 제어를 결정하기 위하여 이용될 수 있다. 이렇게 하여, 분산된 피드백 에러 보정이 전체 모듈러 시스템에 대하여 달성될 수 있다.
단지 하나의 센서를 사용하는 것은 바람직하지 못하다. 도 15에 도시된 바와 같이, 자석(356) 및 센서(360A)와 같은 센서의 상대 위치는 센서 아날로그 출력 전압(y-축) 대 자석 위치(x-축)에 대한 그래프로 도시된다. 라인(372)은 자석이 센서(360A)에 가장 가까이 위치할 때를 나타낸다. 도 15에 도시된 바와 같이, 자석이 센서 중심에 있을 때 출력된 신호는 어느 정도 평탄하고 평활해서, 이로부터 한정된 피크 위치를 식별하는 것은 매우 곤란하다. 또 다른 단점은 자석 필드 강도가 온도에 따라 변동되기 때문에 피크 레벨이 변동한다는 것이다.
도 16 및 17은 (360A, 360B)과 같은 두 개의 센서에 대한 상태를 도시한다. 센서(360A)의 출력은 커브A로 도시되며, 센서(360B)의 출력은 커브B로 도시된다. 커브가 교차하는 라인(374)에서, 전압은 동일하며, 이는 자석(356)이 센서 사이의 중심에 있다는 것을 나타낸다. 이 교차점(374)은 온도에 의한 자석의 자기장 강도 변화에 영향을 받지 않는다. 이는 두 개의 센서 시스템에 장점을 제공한다.
리미트 센서(LS)가 도 11에 도시된 바와 같이 이용될 수 있다. 리미트 센서(308)는 근처 벽, 게이트 밸브 또는 다른 형태의 단단한 지점에 배치될 수 있다. 이들 리미트 센서는 셔틀 단부의 위치를 감지하고 작동될 때 구동 메커니즘 모터에 대한 파워를 감소시키거나 제거한다. 예를 들어, 리미트 센서(308)는 챔버벽과 같은 단단한 지점 위치(310)로부터 거리"A"에 배치된다. 리미트 센서(308)가 셔틀(70)을 감지하는 위치에서, 유사하게 셔틀(70)은 단단한 지점으로부터 거리A에 배치된다. 이 시스템은 셔틀(70)이 단단한 지점으로부터 거리A에 배치될 때 셔틀(70)의 양쪽 단부가 구동 메커니즘의 중심라인으로부터 거리"B"에 배치되도록 설계될 수 있다. 따라서, B가 A보다 크게 되는 한, 셔틀(70)은 구동 메커니즘으로부터 갑자기 이탈하거나 또는 단단한 지점(310)에 충돌할 수 없다.
도 1 및 1a에 도시된 바와 같이, 인입구 및 인출구 로드 록의 단부벽의 앨코브 또는 격벽(148)은 셔틀(70, 72)의 측면 레일의 관련 단부를 수용하기 위하여 제공된다. 셔틀이 로드 록 챔버에 위치할 때, 측면 레일의 단부는 상기 격벽에 의하여 받아들여지고 수용된다. 전술한 바와 같이, 레일은 처리 챔버(54A-54C)의 길이 보다 일반적으로 길 수 있다. 이는 로드 록의 체적이 최소화되도록 한다.
여러 가지 이유로, 챔버 체적을 최소화하는 것이 바람직하다. 챔버 체적의 감소는 챔버의 펌핑 다운을 빠르고 경제적으로 만들며, 진공 펌프의 용량을 감소시킨다. 또한, 처리 가스 또는 불활성 가스의 소모가 감소된다. 가열 및 냉각이 용이할 수 있다. 처리 균일성이 예를 들어 보이드 또는 캐비티가 없는 더욱 균일한 플라즈마를 제공함으로서 증가될 수 있다.
챔버(54A-54C)의 공정과 관련하여, 챔버 각각에 두 개의 밸브(56A-56C 및 58A-58C)를 제공하는 것의 추가 장점은 상기 각각의 밸브가 관련 챔버의 서셉터(130)에 인접하게 배치되도록 할 수 있다는 것이다. 특히 더 중요한 장점은 각각의 처리 챔버의 구동 메커니즘(100)이 밸브 하우징(도 3참조)에 의하여 한정된 캐비티의 외부에 배치된다는 것이다. 이는 구동 메커니즘에 의한 챔버의 오염을 상당히 감소시킨다.
바람직하게, 시스템은 소정 부품이 시스템의 파손 또는 시스템 챔버의 오염을 최소화하도록 수리되거나 교체될 수 있도록 구성된다. 구동 모터(102) 및 인코더(110)는 오염될 염려 없이 아일랜드 외부로부터 수리 또는 교체될 수 있다. 구동 샤프트(104) 또는 관련 성분 중 어느 하나가 수리 또는 교체될 필요가 있다면, 닫힌 구동 메커니즘의 한쪽 면상의 밸브를 통해 수행될 수 있다. 따라서, 인접 챔버의 내부는 상기와 같은 작용에 의하여 오염되지 않는다. 임의의 오염은 인접 챔버 내부보다 용이하게 세척될 수 있는 구동 메커니즘을 바로 감싸는 밸브 사이의 공간에 제한된다.
본 발명의 수많은 실시예가 설명되었다. 그럼에도, 여러 가지 변형이 본 발명의 사상과 범위에서 벗어나지 않고 이루어질 수 있다. 예를 들어, 소장 디바이스의 제조와 관련된 특정 공정은 바람직하게 여러 챔버 장치 및 사용 순서와 관련될 수 있다. 이 방식에서, 이용될 수 있는 챔버 타입은 에칭 처리, 물리 기상 증착, 화학 기상 증착 등을 위하여 이용되는 것들을 포함할 수 있다. 다른 변형예에서, 3개의 처리 챔버가 여기서 설명되었지만, 시스템은 단일 처리 챔버, 두 개의 처리 챔버 또는 3개 이상의 처리 챔버를 이용할 수 있다. 본 발명의 시스템은 모듈형이고 증분이 가능하며, 특정 공정에 적합하도록 수많은 변형이 가능하도록 한다. 예를 들어, 본 발명의 셔틀은 필요하다면 특정 기판에 대한 처리 단계를 반복하도록 제어될 수 있다. 이 방식에서, 셔틀은 양방향이 되도록 제어될 수 있다. 따라서, 기타 실시예도 첨부된 청구범위의 범위 내에 있다.

Claims (59)

  1. 제 1 처리 챔버;
    상기 제 1 처리 챔버와 연통되는 제 2 처리 챔버;
    상기 제 1 챔버와 상기 제 2 챔버 사이에서 기판이 이송되도록 상기 제 1 챔버의 제 1 위치와 상기 제 2 챔버의 제 2 위치 사이의 셔틀 경로를 따라 이동가능한 기판 이송 셔틀;
    상기 제 1 챔버와 상기 제 2 챔버 사이에 배치되며 셔틀 경로의 관련 부분을 따라 상기 기판 이송 셔틀을 이동시키는 구동 메커니즘; 및
    상기 구동 메커니즘을 제어하고 적어도 상기 제 1 위치로부터 상기 제 2 위치로 상기 기판 이송 셔틀을 유도하도록 상기 구동 메커니즘에 파워를 제공하는 제어 시스템
    을 포함하는 기판 처리 장치.
  2. 제 1 항에 있어서, 상기 제 1 챔버는 로드 록 챔버이고 제 2 챔버는 처리 챔버인 것을 특징으로 하는 기판 처리 장치.
  3. 제 1 항에 있어서, 상기 구동 메커니즘은 피니온 기어(pinion gear)와 모터를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  4. 제 1 챔버;
    상기 제 1 챔버와 선택적으로 연통되는 제 2 챔버;
    상기 제 2 챔버와 선택적으로 연통되는 제 3 챔버;
    상기 챔버들 사이에서 기판이 이송되도록 상기 제 1 챔버의 제 1위치, 상기 제 2 챔버의 제 2 위치 및 제 3 챔버내의 제 3 위치 사이의 셔틀 경로를 따라 이동가능한 기판 이송 셔틀;
    상기 제 1 챔버와 상기 제 2 챔버 사이의 셔틀 경로의 적어도 일부를 따라 상기 기판 이송 셔틀을 이동시키도록 구성된 제 1 구동 메커니즘;
    상기 제 2 챔버와 상기 제 3 챔버 사이의 셔틀 경로의 적어도 일부를 따라 상기 기판 이송 셔틀을 이동시키도록 구성된 제 2 구동 메커니즘; 및
    상기 제 1 구동 메커니즘 및 제 2구동 메커니즘을 제어하는 제어 시스템을 포함하며,
    상기 제어 시스템은, 상기 제 2 구동 메커니즘과 적어도 부분적으로 맞물리는 상기 기판 이송 셔틀이 중간 위치를 지나 상기 제 1 위치로부터 제 3 위치를 향해 유도되고, 상기 중간 위치를 통과한 상기 기판 이송 셔틀을 나타내는 입력을 수신하도록 구성되어, 상기 기판 이송 셔틀의 이송이 제 1 구동 메커니즘과 제 2 구동 메커니즘 사이에서 동기화되는, 기판 처리 장치.
  5. 제 4 항에 있어서, 상기 제어 시스템은 제 1 구동 메커니즘에 파워가 제공되면 상기 제 2 구동 메커니즘에 파워를 제공하도록 추가적으로 구성되는 것을 특징으로 하는 기판 처리 장치.
  6. 제 4 항에 있어서, 상기 제어 시스템은 제 1 구동 메커니즘에 파워를 제공한 후에 그리고 상기 셔틀과 상기 제 2 구동 메커니즘이 접촉하기 전에 제 2 챔버용 구동 메커니즘에 파워를 제공하도록 추가적으로 구성되는 것을 특징으로 하는 기판 처리 장치.
  7. 제 4 항에 있어서, 상기 제어 시스템은 상기 입력이 수신되면 제 2 구동 메커니즘에 파워를 제공하도록 추가적으로 구성되는 것을 특징으로 하는 기판 처리 장치.
  8. 제 5 항에 있어서, 상기 제어 시스템은 상기 입력이 수신되면 제 1 구동 메커니즘에 대한 파워를 감소시키도록 추가적으로 구성되는 것을 특징으로 하는 기판 처리 장치.
  9. 제 4 항에 있어서, 상기 제어 시스템은 모터에 연결된 인코더를 포함하여 상기 모터에 의한 이동량을 결정하는 것을 특징으로 하는 기판 처리 장치.
  10. 제 4 항에 있어서, 상기 제 1 구동 메커니즘 및 제 2 구동 메커니즘은 피니온 기어를 더 포함하며, 상기 기판 이송 셔틀은 치형 랙을 더 포함하며, 상기 피니온 기어는 상기 치형 랙과 맞물리는 것을 특징으로 하는 기판 처리 장치.
  11. 제 1 챔버와 제 2 챔버 사이에서 기판이 이송되도록 제 1 챔버의 제 1 위치와 제 2 챔버의 제 2 위치 사이의 셔틀 경로를 따라 기판 이송 셔틀을 이동시키는 단계; 및
    상기 챔버 사이에서 셔틀 경로의 관련 부분을 따라 상기 기판 이송 셔틀을 이동시키도록 상기 챔버들을 위한 구동 메커니즘을 제어하는 단계를 포함하며, 상기 제어 단계는,
    상기 제 1 위치로부터 상기 제 2 위치로 상기 기판 이송 셔틀을 유도하기 위해 구동 메커니즘에 파워를 제공하는 단계;
    상기 기판 이송 셔틀이 상기 제 2 위치에 도달하는 것을 나타내는 입력을 수신하는 단계; 및
    상기 구동 메커니즘에 대한 파워를 감소 또는 제거하는 단계
    를 포함하는 기판 처리 방법.
  12. 제 1 챔버와 제 3 챔버 사이에서 기판이 이송되도록 제 2 챔버의 제 2 위치를 통하여 제 1 챔버의 제 1 위치와 제 3 챔버의 제 3 위치 사이의 셔틀 경로를 따라 기판 이송 셔틀을 이동시키는 단계;
    상기 셔틀 경로의 관련 부분을 따라 기판 이송 셔틀을 이동시키기 위해, 각각의 제 1, 제 2 및 제 3 챔버용 구동 메커니즘을 제어하는 단계를 포함하며, 상기 제어하는 단계는,
    중간 위치를 통하여 상기 제 1 위치에서 제 3 위치로 기판 이송 셔틀을 구동시키기 위하여, 제 1 챔버용 구동 메커니즘에 파워를 제공하는 단계 - 상기 기판 이송 셔틀은 상기 제 2 챔버용 구동 메커니즘과 맞물기 시작하고 상기 제 2 챔버용 구동 메커니즘에 의해 구동됨- ;
    기판 이송 셔틀이 중간 위치를 통과했음을 나타내는 입력을 수신하는 단계; 및
    중간 위치로부터 상기 제 3 위치로 기판 이송 셔틀을 유도하기 위해 상기 제 2 챔버용 구동 메커니즘에 파워를 제공하는 단계
    를 포함하는 기판 처리 방법.
  13. 제 12 항에 있어서, 상기 제어하는 단계는 상기 제 1 챔버용 구동 메커니즘에 파워가 제공될 때 상기 제 2 챔버용 구동 메커니즘에 파워를 제공하는 단계를 추가적으로 포함하는 것을 특징으로 하는 기판 처리 방법.
  14. 제 12 항에 있어서, 상기 제어하는 단계는 상기 제 1 챔버용 구동 메커니즘에 파워가 공급된 후에 그리고 상기 셔틀 및 제 2 챔버용 구동 메커니즘이 접촉하기 이전에, 상기 제 2 챔버용 구동 메커니즘에 파워를 제공하는 단계를 추가적으로 포함하는 것을 특징으로 하는 기판 처리 방법.
  15. 제 12 항에 있어서, 상기 제어하는 단계는 상기 제 1 챔버용 구동 메커니즘에 파워가 공급된 후에 그리고 상기 셔틀 및 제 2 챔버용 구동 메커니즘이 접촉할 때, 상기 제 2 챔버용 구동 메커니즘에 파워를 제공하는 단계를 추가적으로 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 제 1 항에 있어서,
    상기 제 2 처리 챔버와 연통되는 제 3 처리 챔버; 및
    상기 제 3 챔버와 제 2 챔버 사이에서 기판이 이송되도록 상기 제 3 챔버의 제 3 위치와 상기 제 2 챔버의 제 2 위치 사이의 셔틀 경로를 따라 이동가능한 제 2 기판 이송 셔틀을 더 포함하는 것을 특징으로 하는 기판 처리 장치
  17. 제 16 항에 있어서, 상기 구동 메커니즘은 상기 셔틀 경로들의 관련 부분들을 따라 상기 기판 이송 셔틀을 이동시키도록 구성되는, 제 1, 제 2 및 제 3 챔버를 위한 별도의 구동 수단을 포함하며, 상기 제어 시스템은 각각의 구동 수단을 제어하는 것을 특징으로 하는 기판 처리 장치.
  18. 제 1 항에 있어서, 상기 제 2 챔버는 제 2 챔버내의 목표 위치에서 기판상에서 처리를 수행하는 것을 특징으로 하는 기판 처리 장치.
  19. 제 18 항에 있어서, 상기 기판 이송 셔틀 상의 예정된 위치에서 센서에 의하여 감지되거나 감지될 수 있는 엘리먼트가 배치되는 것을 특징으로 하는 기판 처리 장치.
  20. 제 19 항에 있어서, 상기 제 2 챔버 내에서 상기 셔틀 경로를 따라 배치되며, 상기 엘리먼트가 목표 위치로부터 예정된 거리에 있는지를 검출하도록 구성되는 센서 어셈블리를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  21. 제 20 항에 있어서, 상기 제어 시스템은 상기 셔틀 경로를 따라 상기 기판 이송 셔틀의 이동을 제어하고, 상기 기판 이송 셔틀의 위치를 나타내는 센서 어셈블리로부터 입력을 수신하도록 구성되며, 상기 센서 어셈블리의 출력은 기판 이송 셔틀의 위치 에러를 교정하기 위하여 제어 시스템에 피드백을 제공하는 것을 특징으로 하는 기판 처리 장치.
  22. 제 1 항에 있어서, 상기 기판은 이동 방향에 대한 리딩 에지를 가지며, 상기 제 2 챔버는 상기 제 2 챔버내의 목표 위치에서 기판상에서 처리를 수행하는 것을 특징으로 하는 기판 처리 장치.
  23. 제 22 항에 있어서, 상기 목표 위치에서 기판을 지지하도록 상기 기판 이송 셔틀과 합동하는 리프트 메커니즘을 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  24. 제 23 항에 있어서, 상기 기판 이송 셔틀은 상기 기판 이송 셔틀상에 한정된 기판 보유 위치에서 상기 기판을 보유하고 상기 기판 보유 위치로부터 예정된 간격으로 인격된 포워드 에지를 가지며 상기 제 1 챔버와 제 2 챔버 사이의 셔틀 경로를 따라 이동가능하며, 상기 기판 이송 셔틀은 상기 목표 위치에 있을 때 상기 기판이 기판 이송 셔틀과 리프트 메커니즘 사이에서 교환될 수 있도록 구성되고 배열되는 것을 특징으로 하는 기판 처리 장치.
  25. 제 24 항에 있어서, 상기 제 2 챔버내에서 상기 셔틀 경로를 따라 배치되며 상기 기판 이송 셔틀의 포워드 에지 또는 상기 기판의 리딩 에지가 예정된 거리만큼 목표 위치로부터 이격된 중간 위치에 도달할 때를 검출하도록 구성되는 센서를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  26. 제 25 항에 있어서, 상기 제어 시스템은 상기 셔틀 경로를 따라 상기 기판 이송 셔틀의 이동을 제어하며, 상기 기판 이송 셔틀이 상기 중간 위치를 지나 예정된 간격으로 이동할 때, 상기 기판 이송 셔틀의 이동을 중지하고 기판 이송 셔틀의 포워드 에지 또는 기판의 리딩 에지가 중간 위치에 도달했는지를 검출하는 센서로부터 입력을 수신하도록 구성되는 것을 특징으로 하는 기판 처리 장치.
  27. 제 1 챔버;
    상기 제 1 챔버와 연통되는 제 2 챔버;
    상기 제 1 챔버와 제 2 챔버 사이에서 기판이 이송되도록 상기 제 1 챔버의 제 1 위치와 상기 제 2 챔버의 제 2 위치 사이의 셔틀 경로를 따라 이동가능한 기판 이송 셔틀;
    셔틀 경로의 관련 부분을 따라 상기 기판 이송 셔틀을 이동시키도록 구성되는, 상기 제 1 챔버와 제 2 챔버 사이의 구동 메커니즘 - 상기 구동 메커니즘은 상기 챔버들과 절연된 파워가 공급된 구동 부재 및 상기 챔버내에서 수행되는 처리와 실질적으로 절연된 파워가 공급되지 않은 구동 부재를 포함함 - ; 및
    상기 구동 메커니즘을 제어하고 상기 제 1 위치로부터 상기 제 2 위치로 상기 기판 이송 셔틀을 유도하도록 상기 구동 메커니즘에 파워를 제공하도록 구성되는 제어 시스템
    을 포함하는 기판 처리 장치.
  28. 제 27 항에 있어서, 상기 제 1 챔버는 로드 록이고 제 2 챔버는 처리 챔버인 것을 특징으로 하는 기판 처리 장치.
  29. 제 27 항에 있어서, 상기 구동 메커니즘은 피니온 기어와 모터를 더 포함하는 것을 특징으로 하는 기판 처리 장치.
  30. 제 1 챔버;
    상기 제 1 챔버와 선택적으로 연통되는 제 2 챔버;
    상기 제 2 챔버와 선택적으로 연통되는 제 3 챔버;
    상기 챔버들 사이에서 기판이 이송되도록 상기 제 1 챔버의 제 1 위치, 상기 제 2 챔버의 제 2 위치 및 제 3 챔버내의 제 3 위치 사이의 셔틀 경로를 따라 이동가능한 기판 이송 셔틀;
    상기 제 1 챔버와 상기 제 2 챔버 사이의 셔틀 경로의 적어도 일부를 따라 상기 기판 이송 셔틀을 이동시키도록 구성되는 제 1 구동 메커니즘;
    상기 제 2 챔버와 제 3 챔버 사이의 셔틀 경로의 적어도 일부를 따라 상기 기판 이송 셔틀을 이동시키도록 구성되어, 상기 셔틀이 중간 위치에 있을 때, 상기 제 1 및 제 2 구동 메커니즘에 의하여 상기 셔틀이 적어도 부분적으로 맞물리게 되는 제 2구동 메커니즘 ; 및
    상기 제 1 및 제 2 구동 메커니즘을 제어하며 상기 중간 위치를 통과하는 셔틀을 나타내는 입력 신호를 기초로 상기 셔틀의 이송을 동기화하는 제어 시스템
    을 포함하는 기판 처리 장치.
  31. 제 30 항에 있어서, 상기 제어 시스템은 제 1 구동 메커니즘에 파워가 제공될 때 제 2 구동 메커니즘에 파워를 제공하는 것을 특징으로 하는 기판 처리 장치.
  32. 제 30 항에 있어서, 상기 제어 시스템은 상기 제 1 구동 메커니즘에 파워가 제공된 후에 그리고 상기 셔틀과 상기 제 2 구동 메커니즘이 접촉하기 이전에, 상기 제 2 챔버용 구동 메커니즘에 파워를 제공하도록 추가적으로 구성되는 것을 특징으로 하는 기판 처리 장치.
  33. 제 30 항에 있어서, 상기 제어 시스템은 상기 입력이 수신되면 상기 제 2 구동 메커니즘에 파워를 제공하도록 추가적으로 구성되는 것을 특징으로 하는 기판 처리 장치.
  34. 제 31 항에 있어서, 상기 제어 시스템은 상기 입력이 수신되면 상기 제 1 구동 메커니즘에 대한 파워를 감소시키도록 추가적으로 구성되는 것을 특징으로하는 기판 처리 장치.
  35. 제 30 항에 있어서, 상기 제어 시스템은 모터에 결합된 인코더를 포함하여 상기 모터에 의한 이동량을 결정하는 것을 특징으로 하는 기판 처리 장치.
  36. 제 30 항에 있어서, 상기 제 1 및 제 2구동 메커니즘은 피니온 기어를 더 포함하며, 상기 기판 이송 셔틀은 치형 랙을 더 포함하며, 상기 피니온 기어는 상기 치형 랙과 맞물리는 것을 특징으로 하는 기판 처리 장치.
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 삭제
  48. 삭제
  49. 삭제
  50. 삭제
  51. 삭제
  52. 삭제
  53. 삭제
  54. 삭제
  55. 삭제
  56. 삭제
  57. 삭제
  58. 삭제
  59. 삭제
KR1020007013029A 1998-05-20 1999-05-14 기판 이송 및 처리 방법 및 장치 KR100625913B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/082,428 1998-05-20
US09/082,428 US6213704B1 (en) 1998-05-20 1998-05-20 Method and apparatus for substrate transfer and processing

Publications (2)

Publication Number Publication Date
KR20010025064A KR20010025064A (ko) 2001-03-26
KR100625913B1 true KR100625913B1 (ko) 2006-09-20

Family

ID=22171156

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007013029A KR100625913B1 (ko) 1998-05-20 1999-05-14 기판 이송 및 처리 방법 및 장치

Country Status (6)

Country Link
US (2) US6213704B1 (ko)
EP (1) EP1080488A1 (ko)
JP (1) JP2002516240A (ko)
KR (1) KR100625913B1 (ko)
TW (1) TW412776B (ko)
WO (1) WO1999060612A1 (ko)

Families Citing this family (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6079693A (en) 1998-05-20 2000-06-27 Applied Komatsu Technology, Inc. Isolation valves
US6517303B1 (en) 1998-05-20 2003-02-11 Applied Komatsu Technology, Inc. Substrate transfer shuttle
DE19907601A1 (de) * 1999-02-22 2000-08-31 Angew Solarenergie Ase Gmbh Verfahren sowie Anordnung zum kontinuierlichen Behandeln von Gegenständen
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US6577923B1 (en) * 1999-12-23 2003-06-10 Applied Materials, Inc. Apparatus and method for robotic alignment of substrates
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
JP2001318470A (ja) * 2000-02-29 2001-11-16 Nikon Corp 露光装置、マイクロデバイス、フォトマスク、及び露光方法
EP1174910A3 (en) * 2000-07-20 2010-01-06 Applied Materials, Inc. Method and apparatus for dechucking a substrate
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
JP4328496B2 (ja) * 2001-06-26 2009-09-09 株式会社日立プラントテクノロジー 枚葉基板の移載装置
KR100822872B1 (ko) * 2001-08-14 2008-04-17 삼성코닝정밀유리 주식회사 유리기판의 박막 코팅 방법
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US6634686B2 (en) 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US6935828B2 (en) 2002-07-17 2005-08-30 Transfer Engineering And Manufacturing, Inc. Wafer load lock and magnetically coupled linear delivery system
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040096636A1 (en) * 2002-11-18 2004-05-20 Applied Materials, Inc. Lifting glass substrate without center lift pins
US7641247B2 (en) * 2002-12-17 2010-01-05 Applied Materials, Inc. End effector assembly for supporting a substrate
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
US7045740B2 (en) * 2003-10-01 2006-05-16 Trumpf, Inc. Laser processing installation with integrated loading/unloading of workpieces
US7141758B2 (en) * 2003-10-01 2006-11-28 Trumpf, Inc. Laser processing installation with readily accessible cutting unit
US7161114B2 (en) * 2003-10-01 2007-01-09 Trumpf Inc. Laser cutting installation with parts unloading unit
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4462912B2 (ja) * 2003-12-10 2010-05-12 大日本スクリーン製造株式会社 基板処理装置および基板処理装置の管理方法
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7497414B2 (en) * 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US20060005770A1 (en) * 2004-07-09 2006-01-12 Robin Tiner Independently moving substrate supports
US7440091B2 (en) * 2004-10-26 2008-10-21 Applied Materials, Inc. Sensors for dynamically detecting substrate breakage and misalignment of a moving substrate
US20060273815A1 (en) * 2005-06-06 2006-12-07 Applied Materials, Inc. Substrate support with integrated prober drive
US20060283688A1 (en) * 2005-06-10 2006-12-21 Applied Materials, Inc. Substrate handling system
US7438175B2 (en) * 2005-06-10 2008-10-21 Applied Materials, Inc. Linear vacuum deposition system
US7296673B2 (en) * 2005-06-10 2007-11-20 Applied Materials, Inc. Substrate conveyor system
US20070006936A1 (en) * 2005-07-07 2007-01-11 Applied Materials, Inc. Load lock chamber with substrate temperature regulation
JP4519037B2 (ja) * 2005-08-31 2010-08-04 東京エレクトロン株式会社 加熱装置及び塗布、現像装置
US7845891B2 (en) * 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7845618B2 (en) 2006-06-28 2010-12-07 Applied Materials, Inc. Valve door with ball coupling
US8124907B2 (en) * 2006-08-04 2012-02-28 Applied Materials, Inc. Load lock chamber with decoupled slit valve door seal compartment
JP5036290B2 (ja) * 2006-12-12 2012-09-26 東京エレクトロン株式会社 基板処理装置および基板搬送方法、ならびにコンピュータプログラム
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US7496423B2 (en) * 2007-05-11 2009-02-24 Applied Materials, Inc. Method of achieving high productivity fault tolerant photovoltaic factory with batch array transfer robots
US20080279658A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods within equipment work-piece transfer for photovoltaic factory
US20080292433A1 (en) * 2007-05-11 2008-11-27 Bachrach Robert Z Batch equipment robots and methods of array to array work-piece transfer for photovoltaic factory
US20080279672A1 (en) * 2007-05-11 2008-11-13 Bachrach Robert Z Batch equipment robots and methods of stack to array work-piece transfer for photovoltaic factory
US20090078562A1 (en) * 2007-09-20 2009-03-26 International Business Machines Corporation Method of system maintenance planning based on continual robot parameter monitoring
US8276959B2 (en) 2008-08-08 2012-10-02 Applied Materials, Inc. Magnetic pad for end-effectors
JP5529484B2 (ja) * 2008-10-28 2014-06-25 キヤノンアネルバ株式会社 基板搬送装置、及び磁気記録媒体の製造方法
TWI615337B (zh) 2009-04-10 2018-02-21 辛波提克有限責任公司 自動化貨箱儲存系統及處理被建構成托板化負荷以在儲存設施往返運送的貨箱之方法
US8694152B2 (en) 2010-12-15 2014-04-08 Symbotic, LLC Maintenance access zones for storage and retrieval systems
US10822168B2 (en) 2010-12-15 2020-11-03 Symbotic Llc Warehousing scalable storage structure
US9008884B2 (en) 2010-12-15 2015-04-14 Symbotic Llc Bot position sensing
US9475649B2 (en) 2010-12-15 2016-10-25 Symbolic, LLC Pickface builder for storage and retrieval systems
US8777685B2 (en) * 2011-08-15 2014-07-15 Shenzhen China Optoelectronics Technology Co., Ltd. Apparatus and method for assembling backlight module
TWI622540B (zh) 2011-09-09 2018-05-01 辛波提克有限責任公司 自動化儲存及取放系統
US9337014B1 (en) 2012-03-09 2016-05-10 Alta Devices, Inc. Processing system architecture with single load lock chamber
US8789392B2 (en) * 2012-05-31 2014-07-29 Corning Incorporated Apparatus for shaping glass and methods
US20140065303A1 (en) * 2012-08-31 2014-03-06 Robert Dennis Grejda Holding device for optical element
KR102188622B1 (ko) 2013-03-15 2020-12-08 심보틱 엘엘씨 통합 보안 직원 액세스 구역 및 원격 로버 조업 중지를 구비한 자율화된 저장 및 인출 시스템
TWI594933B (zh) 2013-03-15 2017-08-11 辛波提克有限責任公司 自動化貯藏及取放系統
TWI642028B (zh) 2013-03-15 2018-11-21 辛波提克有限責任公司 具有整合式受保護的人員接觸區及遠端漫遊機關機之運送系統及自動化儲存和取放系統
JP6523296B2 (ja) 2013-09-13 2019-05-29 シムボティック エルエルシー 自動保管および取出システム
FR3049941B1 (fr) * 2016-04-06 2018-04-13 Saint- Gobain Glass France Dispositif de convoyage et de maintien pour feuille de verre notamment dans une installation de lavage
WO2019064577A1 (ja) * 2017-09-29 2019-04-04 株式会社ニコン 基板搬送装置、露光装置、フラットパネルディスプレイの製造方法、デバイス製造方法、基板搬送方法、及び露光方法
US10930542B2 (en) 2018-02-15 2021-02-23 Applied Materials, Inc. Apparatus for handling various sized substrates
KR20200141749A (ko) 2019-06-11 2020-12-21 김문섭 처짐방지 주머니를 구비한 티셔츠
CN110589447B (zh) * 2019-09-24 2021-09-03 苏州精濑光电有限公司 一种显示面板输送线衔接机构
WO2021107207A1 (ko) * 2019-11-29 2021-06-03 엘지전자 주식회사 자기부상 반송장치
WO2021150524A1 (en) 2020-01-22 2021-07-29 Applied Materials, Inc. In-line monitoring of oled layer thickness and dopant concentration
US11889740B2 (en) * 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11557496B2 (en) * 2020-03-23 2023-01-17 Applied Materials, Inc. Load lock with integrated features
JP7266015B2 (ja) * 2020-09-18 2023-04-27 株式会社Screenホールディングス 真空処理装置
CN112261366B (zh) * 2020-10-20 2022-09-13 贵州电网有限责任公司 一种运行监视装置
WO2022087592A1 (en) * 2020-10-20 2022-04-28 Bastian Solutions, Llc Conveyor controller with analytics system
WO2022087591A1 (en) * 2020-10-20 2022-04-28 Bastian Solutions, Llc Conveyor controller with roller detection system
CN113335589B (zh) * 2021-05-10 2022-07-29 山西光兴光电科技有限公司 A型架位置校正检测装置以及玻璃包装系统

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2114470B2 (de) 1971-03-25 1975-02-13 Flachglas Ag Delog-Detag, 4650 Gelsenkirchen Vorrichtung zum kontinuierlichen, einseitigen Beschichten von Platten, wie Glasscheiben, Keramik- oder Kunststoffplatten u. dgl. mittels Kathodenzerstäubung
US3850105A (en) 1972-12-29 1974-11-26 Ibm Apparatus for transferring articles through various processing sectors of a manufacturing system
US3973665A (en) * 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
US3976330A (en) 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
US4047624A (en) 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US4166563A (en) 1977-09-27 1979-09-04 Societe Suisse Pour L'industrie Horlogere Management Services, S.A. Transfer machine for sealing electronic or like components under vacuum
US5187115A (en) 1977-12-05 1993-02-16 Plasma Physics Corp. Method of forming semiconducting materials and barriers using a dual enclosure apparatus
US4682927A (en) * 1982-09-17 1987-07-28 Nacom Industries, Incorporated Conveyor system
JPS5953320A (ja) 1982-09-21 1984-03-28 Fujitsu Ltd 搬送装置
JPS6162739A (ja) * 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
AU572375B2 (en) * 1985-01-31 1988-05-05 Boc Group, Inc., The Transporting of workpiece to and from vacuum coating apparatus
US4749465A (en) 1985-05-09 1988-06-07 Seagate Technology In-line disk sputtering system
US5110249A (en) * 1986-10-23 1992-05-05 Innotec Group, Inc. Transport system for inline vacuum processing
US4775281A (en) 1986-12-02 1988-10-04 Teradyne, Inc. Apparatus and method for loading and unloading wafers
JPS63141342A (ja) 1986-12-04 1988-06-13 Ushio Inc 半導体ウエハ処理方法及びその装置
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5292393A (en) 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4829445A (en) 1987-03-11 1989-05-09 National Semiconductor Corporation Distributed routing unit for fully-automated flexible manufacturing system
US4913929A (en) 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
US4846102A (en) 1987-06-24 1989-07-11 Epsilon Technology, Inc. Reaction chambers for CVD systems
JPH0333058Y2 (ko) 1987-06-26 1991-07-12
DE3855871T2 (de) 1987-09-11 1997-10-16 Hitachi Ltd Vorrichtung zur Durchführung einer Wärmebehandlung an Halbleiterplättchen
FR2621930B1 (fr) 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
JP2621240B2 (ja) * 1987-10-30 1997-06-18 石川島播磨重工業株式会社 燃料電池
KR970003907B1 (ko) 1988-02-12 1997-03-22 도오교오 에레구토론 가부시끼 가이샤 기판처리 장치 및 기판처리 방법
US5259883A (en) 1988-02-16 1993-11-09 Kabushiki Kaisha Toshiba Method of thermally processing semiconductor wafers and an apparatus therefor
US4857689A (en) 1988-03-23 1989-08-15 High Temperature Engineering Corporation Rapid thermal furnace for semiconductor processing
EP0346815A3 (en) * 1988-06-13 1990-12-19 Asahi Glass Company Ltd. Vacuum processing apparatus and transportation system thereof
US5024570A (en) 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
DE3941110A1 (de) 1988-12-19 1990-06-28 Rif O Z Mikroelektroniki Vakuumeinrichtung zum aufdampfen von schichten
JPH0793348B2 (ja) 1989-05-19 1995-10-09 アプライド マテリアルズ インコーポレーテッド 多重チャンバ真空式処理装置及び多重チャンバ真空式半導体ウェーハ処理装置
US5227708A (en) 1989-10-20 1993-07-13 Applied Materials, Inc. Two-axis magnetically coupled robot
EP0423608B1 (en) 1989-10-20 1996-06-05 Applied Materials, Inc. Two-axis magnetically coupled robot
US5447409A (en) 1989-10-20 1995-09-05 Applied Materials, Inc. Robot assembly
JP2600399B2 (ja) 1989-10-23 1997-04-16 富士電機株式会社 半導体ウエーハ処理装置
US5203443A (en) 1989-11-13 1993-04-20 Kabushiki Kaisha Shinkawa Conveying apparatus used in assembling semicondutors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5060354A (en) 1990-07-02 1991-10-29 George Chizinsky Heated plate rapid thermal processor
JP2938160B2 (ja) 1990-07-20 1999-08-23 東京エレクトロン株式会社 真空処理装置
JP2893926B2 (ja) 1990-10-25 1999-05-24 神鋼電機株式会社 推力発生装置
US5668056A (en) * 1990-12-17 1997-09-16 United Microelectronics Corporation Single semiconductor wafer transfer method and manufacturing system
US5215420A (en) * 1991-09-20 1993-06-01 Intevac, Inc. Substrate handling and processing system
US5275709A (en) * 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
JP2598353B2 (ja) 1991-12-04 1997-04-09 アネルバ株式会社 基板処理装置、基板搬送装置及び基板交換方法
US5382126A (en) * 1992-03-30 1995-01-17 Leybold Ag Multichamber coating apparatus
SG47541A1 (en) 1992-06-26 1998-04-17 Materials Research Corp Transport system for wafer processing line
EP0608620B1 (en) 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
EP0608633B1 (en) 1993-01-28 1999-03-03 Applied Materials, Inc. Method for multilayer CVD processing in a single chamber
US5352294A (en) 1993-01-28 1994-10-04 White John M Alignment of a shadow frame and large flat substrates on a support
JP2683208B2 (ja) 1993-01-28 1997-11-26 アプライド マテリアルズ インコーポレイテッド ロボット機構を用いた搬入および搬出のためのワークピース位置合わせ方法および装置
US5535306A (en) 1993-01-28 1996-07-09 Applied Materials Inc. Self-calibration system for robot mechanisms
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5377816A (en) 1993-07-15 1995-01-03 Materials Research Corp. Spiral magnetic linear translating mechanism
JP2683317B2 (ja) 1993-11-19 1997-11-26 マルヤス機械株式会社 コンベア
JPH07245285A (ja) 1994-03-03 1995-09-19 Dainippon Screen Mfg Co Ltd 基板処理装置
DE9407482U1 (de) * 1994-05-05 1994-10-06 Leybold Ag Funktionseinrichtung für eine Vakuumanlage für die Behandlung von scheibenförmigen Werkstücken
JP3732250B2 (ja) 1995-03-30 2006-01-05 キヤノンアネルバ株式会社 インライン式成膜装置
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5881649A (en) 1996-08-13 1999-03-16 Anelva Corporation Magnetic transfer system, power transmission mechanism of the magnetic transfer system, and rotational driving member used for the system

Also Published As

Publication number Publication date
TW412776B (en) 2000-11-21
KR20010025064A (ko) 2001-03-26
US20010024609A1 (en) 2001-09-27
EP1080488A1 (en) 2001-03-07
WO1999060612A1 (en) 1999-11-25
US6213704B1 (en) 2001-04-10
JP2002516240A (ja) 2002-06-04

Similar Documents

Publication Publication Date Title
KR100625913B1 (ko) 기판 이송 및 처리 방법 및 장치
KR100602341B1 (ko) 기판 처리 방법 및 장치
KR20010025065A (ko) 인슈트 기판 이송 셔틀
JP4871985B2 (ja) 移動中のコンベヤから直接的に基板キャリアをアンロードする基板キャリアハンドラー
KR102157427B1 (ko) 기판 반송 로봇 및 기판 처리 시스템
EP1094921B1 (en) Transferring substrates with different holding end effectors
US5989346A (en) Semiconductor processing apparatus
JP3650495B2 (ja) 半導体処理装置、その基板交換機構及び基板交換方法
KR101245464B1 (ko) 열처리 장치, 열처리 방법 및 기억 매체
US10403528B2 (en) Substrate-processing apparatus and method of manufacturing semiconductor device
KR102307930B1 (ko) 기판 반송 방법 및 기판 반송 모듈
KR100951149B1 (ko) 기판 처리 장치, 기판 반송 방법 및 컴퓨터 프로그램을기록한 기록 매체
JP4227623B2 (ja) 半導体処理装置
JPH1111663A (ja) 基板搬送装置
KR102652598B1 (ko) 기판 반송 장치, 기판 반송 방법, 및 기판 처리 시스템
JP3964662B2 (ja) 基板の取り出し方法
JP3816929B2 (ja) 半導体処理装置
JP3558558B2 (ja) 半導体製造装置及びその半導体基板搬送方法
CN113169107A (zh) 装载锁定腔室
JP6612947B2 (ja) 基板搬送装置、及びこれを用いた基板搬送方法
KR20020006073A (ko) 웨이퍼 감지를 위한 웨이퍼 반송 로봇
CN112930251A (zh) 基板输送装置
JPH11111813A (ja) 被処理体の位置決め装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 11

LAPS Lapse due to unpaid annual fee