KR100579336B1 - 구리 확산에 대한 장벽 효과를 갖는 유전체 - Google Patents

구리 확산에 대한 장벽 효과를 갖는 유전체 Download PDF

Info

Publication number
KR100579336B1
KR100579336B1 KR1020030041909A KR20030041909A KR100579336B1 KR 100579336 B1 KR100579336 B1 KR 100579336B1 KR 1020030041909 A KR1020030041909 A KR 1020030041909A KR 20030041909 A KR20030041909 A KR 20030041909A KR 100579336 B1 KR100579336 B1 KR 100579336B1
Authority
KR
South Korea
Prior art keywords
poly
formula
ego
hydroxyamide
polymer
Prior art date
Application number
KR1020030041909A
Other languages
English (en)
Other versions
KR20040002741A (ko
Inventor
제치레카이
발터안드레아스
말텐베르거안나
로바크클라우스
Original Assignee
인피네온 테크놀로지스 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인피네온 테크놀로지스 아게 filed Critical 인피네온 테크놀로지스 아게
Publication of KR20040002741A publication Critical patent/KR20040002741A/ko
Application granted granted Critical
Publication of KR100579336B1 publication Critical patent/KR100579336B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/02Polyamines
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G73/00Macromolecular compounds obtained by reactions forming a linkage containing nitrogen with or without oxygen or carbon in the main chain of the macromolecule, not provided for in groups C08G12/00 - C08G71/00
    • C08G73/06Polycondensates having nitrogen-containing heterocyclic rings in the main chain of the macromolecule
    • C08G73/22Polybenzoxazoles
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G69/00Macromolecular compounds obtained by reactions forming a carboxylic amide link in the main chain of the macromolecule
    • C08G69/02Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids
    • C08G69/26Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G69/00Macromolecular compounds obtained by reactions forming a carboxylic amide link in the main chain of the macromolecule
    • C08G69/02Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids
    • C08G69/26Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids
    • C08G69/265Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids from at least two different diamines or at least two different dicarboxylic acids
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G69/00Macromolecular compounds obtained by reactions forming a carboxylic amide link in the main chain of the macromolecule
    • C08G69/02Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids
    • C08G69/26Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids
    • C08G69/32Polyamides derived from amino-carboxylic acids or from polyamines and polycarboxylic acids derived from polyamines and polycarboxylic acids from aromatic diamines and aromatic dicarboxylic acids with both amino and carboxylic groups aromatically bound
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/44Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes vinyl resins; acrylic resins
    • H01B3/441Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes vinyl resins; acrylic resins from alkenes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Medicinal Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Macromolecular Compounds Obtained By Forming Nitrogen-Containing Linkages In General (AREA)
  • Formation Of Insulating Films (AREA)
  • Organic Insulating Materials (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 환화되어 금속에 대해 확산 장벽 효과가 우수한 폴리벤즈옥사졸을 제공할 수 있는 신규한 폴리-o-하이드록시아미드에 관한 것이다. 폴리-o-하이드록시아미드는 통상적인 기술에 의해 반도체 기판에 적용되어 가열에 의해 간단한 방법으로 폴리벤즈옥사졸로 전환될 수 있다. 금속 확산에 대한 우수한 장벽 효과로 인해, 전도체 트랙과 유전체 사이에 확산 장벽이 실질적으로 불필요할 수 있다.

Description

구리 확산에 대한 장벽 효과를 갖는 유전체{DIELECTRIC HAVING A BARRIER EFFECT AGAINST COPPER DIFFUSION}
도 1은 유전체 상수를 측정하기 위한 시험 장치의 개략도를 나타낸 것이고;
도 2는 전극을 제조하기 위해 실시예 28 내지 33에 사용된 바와 같은 섀도우 마스크(shadow mask)를 도시한 것이다.
본 발명은 폴리-o-하이드록시아미드, 그의 제조 방법, 폴리벤즈옥사졸 및 그의 제조 방법, 유전체로서 폴리벤즈옥사졸을 함유하는 전자 부품 및 이 전자 부품의 제조 방법에 관한 것이다.
용량 결합에 의해 야기되는 신호의 유도 장해를 방지하기 위해, 마이크로칩에서 서로 인접한 전도체 트랙을 전도체 트랙 사이에 배열된 유전체에 의해 서로 절연시킨다. 유전체로 사용되는 화합물은 다양한 필요조건들을 충족시켜야 한다. 따라서, 마이크로칩에서 신호 전환 시간은 전도체 트랙의 재료 및 전도체 트랙 사 이에 배열되는 유전체 둘 다에 따라 달라진다. 유전체의 유전체 상수가 낮을수록, 신호 전환 시간도 짧아진다. 현재까지 사용된 실리카-기재 유전체는 약 4의 유전체 상수를 갖는다. 이들 물질은 점차 실질적으로 유전체 상수가 더욱 낮은 유기 유전체로 대체되고 있다. 상기 물질의 유전체 상수는 일반적으로 3 미만이다.
현재 통상적인 마이크로칩에서, 전도체 트랙은 알루미늄, AlCu 또는 AlCuSi로 이루어진 것이 바람직하다. 메모리 칩의 집적 밀도를 증가시킴에 따라, 알루미늄과 비교하여 구리의 낮은 전기 저항으로 인해 전도체 트랙 재료가 구리로 교체되고 있다. 구리는 신호 전환 시간을 단축시키므로 전도체 트랙 횡단면을 감소시킨다. 유전체를 전도체 트랙 사이의 트렌치(trench)에 충전시키는, 현재까지 통상적인 기술과 대조적으로, 구리 상감 기술에서는 유전체를 먼저 구조화한다. 형성된 트렌치에 먼저 구리를 충전한 후 과량의 구리를 기계적으로 마쇄시킨다. 그러므로, 유전체는 마쇄에 사용되는 재료에 대해 안정해야 하며 기계적 마쇄 공정 동안 분리되는 것을 방지하기 위해 기판에 대해 충분한 접착력을 가져야 한다. 또한, 유전체는 마이크로칩의 다른 부품들이 제조되는 후속 공정 단계에서 충분한 안정성을 가져야 한다. 이를 위해, 유전체는, 예를 들어 충분한 열 안정성을 가져야 하며 400℃ 초과의 온도에서도 분해되지 않아야 한다. 또한, 유전체는 가공 화학물질, 예를 들어 용매, 탈거 장치, 염기, 산 또는 공격성 기체에 대해 안정해야 한다. 또 다른 필요조건은 그로부터 유전체가 생성되는 전구체의 우수한 용해도 및 충분한 보존 수명이다.
마이크로칩의 유전체로서 적합하기 위해서는, 전도체 트랙의 금속이 승온에 서도 유전체 중으로 확산되지 않는 것이 매우 중요하다. 마이크로칩의 제조는 400℃ 이상까지의 열 부하를 야기하는 제조 단계, 예를 들어 기체 상으로부터 산화물 침착, 구리 어닐링 또는 텅스텐 침착과 같은 단계를 포함한다. 금속이 유전체 중으로 확산되는 것을 방지하기 위해, 유전체와 금속 사이에 장벽을 제공한다. 상기 장벽은, 예를 들어 티탄 니트라이드, 실리콘 니트라이드, 실리콘 카바이드 또는 탄탈 니트라이드로 이루어진다. 장벽은 우수한 유전체로도, 우수한 전도체로도 작용하지 않는다. 그러나, 금속이 유전체 중으로 확산되는 것을 효과적으로 억제하기 위해 특정한 장벽 층 두께가 필요하기 때문에 장벽은 공간을 필요로 한다. 집적 밀도를 증가시킴에 따라, 즉 전도체 트랙의 폭을 감소시킴에 따라, 장벽이 차지하는 공간의 비율은 전도체 트랙의 폭에 대해 실질적으로 증가된다. 전도체 트랙 폭이 100㎚ 이하인 경우, 장벽은 임의로 이용가능한 폭의 10%까지 차지할 수 있다. 그러므로, 반도체 부품의 추가의 소형화는 더 어렵게 된다. 그러므로, 마이크로칩의 또 다른 소형화에 있어, 장벽의 폭은 더 감소되거나, 또는 가장 바람직하게는 상기 장벽은 완전히 불필요하게 된다.
폴리벤즈옥사졸(PBO)은 내열성이 매우 높은 중합체이다. 상기 물질은 이미 마이크로칩에서 보호 및 절연층의 제조에 사용되고 있다. 폴리벤즈옥사졸은 폴리-o-하이드록시아미드를 환화시켜 제조할 수 있다. 폴리-o-하이드록시아미드는 유기 용매 중에서의 우수한 용해도 및 우수한 필름 형성 성질을 갖는다. 상기 물질은 회전-피복 기술에 의해 간단한 방법으로 전자 부품에 적용될 수 있다. 폴리-o-하이드록시아미드를 환화시켜 폴리벤즈옥사졸을 생성시키는 열 처리에서, 바람직한 성질을 갖는 중합체가 수득된다. 또한, 폴리벤즈옥사졸은 환화된 형태에서 직접 가공할 수 있다. 그러나, 이 경우에는 대체로 중합체의 용해도에 문제가 있다. 폴리-o-하이드록시아미드의 블록(block)을 제조하는 것은, 예를 들어 독일 특허 제 100 11 608 호에 기술되어 있다.
고온에서 안정한 또 다른 절연 물질은, 예를 들어 국제 특허 공개 제 97/10193 호, 91/09081 호 및 91/09087 호, 및 유럽 특허 제 23 662 호 및 제 264 678 호에 개시되어 있다. 그러나, 상기 물질들의 경우에, 고온에서 금속이 유전체 중으로 확산되는 것을 방지하기 위해 전도체 트랙과 유전체 사이에 장벽을 제공해야 한다.
그러므로, 본 발명의 목적은 마이크로칩에 사용하기 위한 고온에서 안정한 중합체를 제공하는 것으로, 상기 중합체는 마이크로칩에서 보다 미세한 전도체 트랙의 제조를 가능하게 한다.
상기 목적은 하기 화학식 1의 폴리-o-하이드록시아미드에 의해 달성된다:
Figure 112003022954661-pat00001
상기 식에서,
Y2
Figure 112003022954661-pat00002
이고;
Y1 및 Y3은 각각의 경우에 서로 독립적으로 Y2와 동일한 의미를 가지며,
Figure 112003022954661-pat00003
이고;
Z1, Z2 및 Z3은 각각의 경우에 독립적으로
Figure 112003022954661-pat00004
이고;
A는 a가 0이고/이거나 d가 1인 경우에는
Figure 112003022954661-pat00005
Figure 112003022954661-pat00006
Figure 112003022954661-pat00007
이고,
A는 a가 1이고/이거나 d가 0인 경우에는
Figure 112003022954661-pat00008
이고;
E는
Figure 112003022954661-pat00009
Figure 112003022954661-pat00010
이고;
W는
Figure 112003022954661-pat00011
Figure 112003022954661-pat00012
이고;
T는
Figure 112003022954661-pat00013
이고;
Q는 이고;
R1
Figure 112003022954661-pat00015
이고;
R2는 -H, -CF3, -OH, -SH, -COOH, -N(R5)2, 알킬 기, 아릴 기, 헤테로아릴 기,
Figure 112003022954661-pat00016
이고;
R3
Figure 112003022954661-pat00017
이고;
R4
Figure 112003022954661-pat00018
이고;
R5는 알킬, 아릴 또는 헤테로아릴 라디칼이고;
a는 0 또는 1이고;
b는 1 내지 200이고;
c는 0 내지 200이고;
d는 0 또는 1이고;
e는 0 내지 10이고;
f는 0 내지 10이고;
g는 0 내지 10이고;
h는 1 내지 10이고;
n은 0 또는 1이고;
x는 R3이 -CH2-인 경우 0 내지 10이다.
화학식 1의 폴리-o-하이드록시아미드는 많은 유기 용매, 예를 들어 아세톤, 사이클로헥사논, 디에틸렌 글리콜 모노- 또는 디에틸 에테르, N-메틸피롤리돈, γ-부티로락톤, 에틸 락테이트, 메톡시프로필 아세테이트, 테트라하이드로푸란 또는 에틸 아세테이트에 용해된다. 이들은 회전-피복, 분무 또는 침지 기술에 의해 균일한 필름으로 매우 용이하게 기판에 적용될 수 있다. 용매를 증발시키면 층 두께가 균일한 균질한 필름이 생성되어, 종횡비가 높은 트렌치 및 접촉 정공(hole)에서도 완전한 충전이 이루어진다. 화학식 1의 폴리-o-하이드록시아미드는 가열에 의해 환화되어 기포 형성 또는 균열을 나타내지 않으면서 상응하는 폴리벤즈옥사졸을 생성할 수 있다. 400℃ 이상의 높은 가공 온도에서조차, 금속이 전도체 트랙으로부터 인접한 유전체 영역으로 확산되는 것이 관찰되지 않거나 또는 기껏해야 매우 약간의 확산만이 관찰된다. 그러므로, 통상적으로 전도체 트랙과 유전체 사이에 배열된 장벽은 매우 얇거나 또는 완전히 불필요하게 될 수 있다.
화학식 1에서 지수 b 및 c로 규정된 반복 단위는, c가 0보다 큰 경우 중합체 스트랜드에 불규칙적으로 분포될 수 있다. 그러나, 중합체의 단편들이 각각의 경우에 지수 b 및 c로 나타낸 반복 단위중 하나만으로 이루어지도록 블록 공중합에 의해 화학식 1의 폴리-o-하이드록시아미드를 제조하는 것도 또한 가능하다. 화학식 1의 폴리-o-하이드록시아미드의 쇄 길이는 출발 물질과 반응 조건, 예를 들어 반응 온도, 희석율 또는 개개 성분들의 첨가율의 화학양론적 비에 의해 조절할 수 있다. 그러나, 중합체는 분자량 분포, 즉 각각의 경우에 분자량이 상이한 중합체들의 혼합물을 가짐은 물론이므로 지수 a, b, c 및 d에 대해 다양한 값이 존재할 수 있다. 화학식 1의 폴리-o-하이드록시아미드의 제조시, 반응은 좁은 분자량 분포가 이루어지는 방식으로 수행하는 것이 바람직하다. 분자량 분포의 최대치는 지수 a 내지 d로 규정된 범위 내에 존재하도록 달성된다. 분자량 분포 내에서, 바람직하게는 중합체의 90% 이상이 지수 a 내지 d로 규정된 한계 내에 속한다. 화학식 1의 폴리-o-하이드록시아미드의 분자량은 통상적인 방법에 의해, 예를 들어 겔 투과 크로마토그래피에 의해 측정할 수 있다.
기 Z1, Z2 및 Z3의 치환 패턴은 각각의 경우에 -NH 기 및 OR1 기로부터 형성된 쌍이 서로에 대해 오르토 위치로 배열되도록 선택된다. 이는 화학식 1의 폴리-o-하이드록시아미드의 환화시 옥사졸 고리로 환화되도록 하기 위해서는 필수적이 다. 화학식 1의 폴리-o-하이드록시아미드는, 중합 후에 상응하는 활성화 화합물을 거쳐 말단 기로 중합체에 도입되는 말단 기 A를 가질 수 있다. 적합한 화합물은, 예를 들어 산 염화물, 알킬 할로겐화물 또는 알콜이다. 말단 기 A를 도입하기 위해 필요한 전구체는 중합체가 중합 후에 말단 기로 갖게 되는 기에 따라 선택된다. 지수 a가 0이거나 또는 지수 d가 1인 경우, 말단 기 A는 NH 기에 결합된다. 적합한 활성화 전구체는, 예를 들어 산 염화물이다. 지수 a가 1이거나 또는 지수 d가 0인 경우, 말단 기 A는 CO 기에 결합된다. 말단 기 A를 도입하는데 적합한 시약은, 예를 들어 할로겐화물, 알콜 또는 아민이다.
기 R2가 알킬 기인 경우, 기는 바람직하게는 탄소수 1 내지 10개를 포함한다. 알킬 기는 선형이거나 분지될 수 있다. 적합한 기는, 예를 들어 메틸 기, 에틸 기, 프로필 기 또는 이소프로필 기이다. R2가 아릴 기인 경우, 기는 바람직하게는 탄소수 6 내지 20개를 포함하며, 방향족계가 알킬 기로 치환되는 것도 또한 가능하다. 적합한 기의 예는 페닐 기, 메틸페닐 기 또는 나프틸 기이다. R2가 헤테로아릴 기인 경우, 기는 바람직하게는 탄소수 4 내지 20개 및 이종 원자 1 내지 4개를 포함한다. 적합한 이종 원자는, 예를 들어 질소, 산소 또는 황이다.
R5가 알킬 기인 경우, 기는 선형이거나 분지될 수 있으며, 바람직하게는 탄소수 1 내지 10개를 포함한다. R5가 아릴 기인 경우, 기는 바람직하게는 탄소수 6 내지 20개를 포함하며, 방향족계는 바람직하게는 6원 고리에 의해 형성된다. R5가 헤테로아릴 기인 경우, 기는 바람직하게는 탄소수 4 내지 10개 및 이종 원자 1 내지 4개를 포함한다. 이때, 적합한 이종 원자도 또한 질소, 산소 또는 황이다.
화학식 1의 폴리-o-하이드록시아미드를 사용하여 생성된 필름의 질은 특히 중합체의 쇄 길이에 의해 영향을 받는다. 특히 바람직하게는, 화학식 1의 폴리-o-하이드록시아미드는 지수 b가 5 내지 50의 값을 갖고 지수 c가 0 내지 50의 값을 갖는 조성을 갖는다. 특정 태양에서, 지수 c는 1 내지 50 범위의 값을 갖는다. 바람직한 태양에서, 화학식 1의 폴리-o-하이드록시아미드는 에테르 가교를 포함한다. 이 경우, 구조적 요소 Y2에서 지수 n은 2의 값을 갖는다.
화학식 1의 폴리-o-하이드록시아미드로부터 제조된 폴리벤즈옥사졸은 3 미만의 유전체 상수를 갖는다. 유전체 상수가 훨씬 더 감소되는 경우, 폴리벤즈옥사졸에는 기공(pore)이 제공된다. 이 경우, 화학식 1의 폴리-o-하이드록시아미드는 열에 불안정하고 가열시 분해되면서 기체를 방출하는 반복 단위를 추가로 포함할 수 있다. 기체는 폴리벤즈옥사졸로부터 확산되어 폴리벤즈옥사졸에 공동(cavity)이 형성될 수 있다.
열에 불안정한 반복 단위는 폴리-o-하이드록시아미드에 블록으로 제공되는 것이 바람직하다. 이는, 예를 들어 먼저 열에 불안정한 반복 단위로부터 올리고머를 제조한 후 이들을 화학식 1의 폴리-o-하이드록시아미드와 반응시킴으로써 달성될 수 있다. 그러나, 먼저 중합에 의해 화학식 1의 폴리-o-하이드록시아미드를 제 조한 후 열에 불안정한 반복 단위를 추가의 중합 반응에서 상기 폴리-o-하이드록시아미드 상에 융합시키는 것도 또한 가능하다. 열에 불안정한 반복 단위의 분해 온도는 화학식 1의 폴리-o-하이드록시아미드의 유리 전이 온도 미만이 되도록 선택되어야 한다. 열에 불안정한 공중합체를 수득하기 위해 화학식 1의 폴리-o-하이드록시아미드를 강화할 수 있는 적합한 반복 단위는, 예를 들어 폴리프로필렌 옥사이드, 폴리메틸 메타크릴레이트 및 지방족 폴리카보네이트, 예를 들어, 폴리프로필렌 카보네이트 및 폴리에틸렌 카보네이트로부터 유도된다. 상기 열에 불안정한 반복 단위 이외에, 가열시 기체 생성물을 방출한다면 다른 반복 단위도 또한 사용할 수 있다. 공중합체중 열에 불안정한 반복 단위의 비율은 공중합체의 5 내지 60 중량%가 되도록 선택하는 것이 바람직하다. 상기 공중합체는, 예를 들어 미국 특허 제 5,776,990 호에 기술되어 있다.
기공 형성은 또한 화학식 1의 폴리-o-하이드록시아미드에 가열시 분해되어 기체 생성물이 방출되는 적합한 기공형성제를 첨가함으로써 달성될 수 있다. 적합한 기공형성제는, 예를 들어 시트르산, 말산 또는 말론산이다.
앞에서 언급했듯이, 환화에 의해 화학식 1의 폴리-o-하이드록시아미드로부터 수득된 폴리벤즈옥사졸은 유리한 성질을 갖는다. 마이크로칩 기술과 관련된 표면, 예를 들어 실리콘, 실리콘 카바이드, 실리콘 카보니트라이드, 실리콘 니트라이드, 실리카, 티탄, 탄탈, 티탄 니트라이드, 탄탈 니트라이드 또는 실리콘 옥시니트라이드에 대한 화학식 1의 폴리-o-하이드록시아미드로부터 제조된 폴리벤즈옥사졸의 접착력은 매우 우수하다. 또한, 폴리벤즈옥사졸은 마이크로칩의 제조에 사용되는 바 와 같은 화학 물질, 예를 들어 용매, 탈거 장치, 염기, 산 또는 공격성 기체에 대해 높은 내성을 갖는다. 그러므로, 중합체 물질은 극소 전자 공학 용도에 매우 적합하다. 게다가, 상기 물질들은 또한 구리 상감 기술에 탁월하게 적합하다. 구리 마쇄 공정 동안, 박리, 균열 또는 기포 형성과 같은 불리한 결과가 일어나지 않는다. 본 발명에 따른 폴리벤즈옥사졸은 놀랍게도 유전체에서의 확산을 억제한다. 그러므로, 상기 폴리벤즈옥사졸은 전기 절연 기능 이외에, 또한 구리에 대한 확산 장벽으로 사용될 수 있다. 그러므로, 유전체와 전도체 트랙 사이에 장벽이 불필요하게 될 수 있거나, 또는 상기 장벽은 훨씬 더 얇게 제조될 수 있다. 필요한 공간이 보다 작아지는 결과로, 집적 밀도의 증가가 가능해진다. 장벽이 완전히 불필요하게 될 수 있는 경우, 본 발명에 따른 폴리벤즈옥사졸을 사용하면 또한 장벽 제조 단계가 생략되므로 마이크로칩의 제조 비용이 감소된다.
화학식 1의 폴리-o-하이드록시아미드는 비스-o-아미노페놀 및 디카복실산 또는 그의 유도체로부터 제조된다. 그러므로, 본 발명은 또한 하나 이상의 하기 화학식 2의 단량체를 하나 이상의 하기 화학식 3의 디카복실산 또는 하나의 활성화 디카복실산 유도체와 반응시키는, 화학식 1의 폴리-o-하이드록시아미드의 제조 방법에 관한 것이다:
Figure 112003022954661-pat00019
Figure 112003022954661-pat00020
상기 식에서,
Z는 Z1, Z2 또는 Z3이고;
Z1, Z2, Z3 및 R1은 전술한 의미를 갖고;
L은 하이드록실 기 또는 활성화 기이고;
Y는 Y1, Y2 또는 Y3이고;
Y1, Y2 및 Y3은 전술한 의미를 갖는다.
예를 들어, 산 염화물 또는 활성 에스테르, 예를 들어, 설폰산 에스테르를 화학식 3의 디카복실산 유도체에 대한 활성화 기로 사용할 수 있다. 그러나, 화학식 2의 단량체와 화학식 3의 디카복실산의 반응은 또한 디카복실산을 활성화시키는 화합물, 예를 들어 카보닐디이미다졸 또는 디사이클로헥실카보디이미드의 존재하에 수행할 수 있다. 원칙적으로, 반응에서 형성된 물을 그 자체에 결합시키는 시약이 모두 적합하다. 화학식 1의 폴리-o-하이드록시아미드의 제조에 있어, 화학식 2의 단량체 및 화학식 3의 디카복실산 또는 임의로 디카복실산 유도체는 -20 내지 150℃에서 유기 용매 중에서 5 내지 20시간에 걸쳐 반응시킨다. 필요한 경우, 말단 기 A를 도입하기 위해 중합체의 말단 기를 적절한 시약에 의해 차단할 수 있다. 적합한 시약은 화학식 1의 화합물에 대한 설명에서 이미 기술하였다. 반응 후에 형성되는 화학식 1의 폴리-o-하이드록시아미드는 반응 용액을 침전제에 적가하여 침전시키고 세척하고 건조시킨다. 적합한 침전제는 물 및 알콜, 예를 들어 이소프로판올, 부탄올 또는 에탄올이다. 이들 침전제의 혼합물도 또한 사용할 수 있다. 침전제는 또한 0.1 내지 10%의 암모니아를 적절히 함유할 수 있다. 여과 및 건조시킨 후에, 침전된 중합체를 직접 더 가공할 수 있으며, 예를 들어 반도체 기판에 적용하기 위해 상기에서 추가로 언급한 용매중 하나에 용해시킨다.
화학식 1의 폴리-o-하이드록시아미드를 생성하기 위한 중합반응은 유리 산을 포착하기 위해 염기의 존재하에 수행할 수 있다. 적합한 염기성 산 수용체는, 예를 들어 피리딘, 트리에틸아민, 디아자비사이클로옥탄 또는 폴리비닐피리딘이다. 그러나, 다른 염기성 산 수용체를 사용하는 것도 또한 가능하다. 합성에 사용되는 용매, 예를 들어 N-메틸피롤리돈, 및 침전제, 예를 들어 물 또는 물/알콜 혼합물에 용이하게 용해되는 화합물, 또는 용매에 완전히 불용성인 화합물, 예를 들어 가교 결합된 폴리비닐피리딘이 특히 바람직하다. 이어서, 산 수용체는 반응 생성물의 후처리 중에 생성된 화학식 1의 폴리-o-하이드록시아미드로부터 용이하게 분리될 수 있다.
중합체 합성에 특히 적합한 용매는 γ-부티로락톤, 테트라하이드로푸란, N-메틸피롤리돈 및 디메틸아세트아미드이다. 그러나, 출발 성분들이 용이하게 용해되는 임의의 용매도 원칙적으로 사용할 수 있다.
본 발명은 또한 기술한 폴리벤즈옥사졸의 제조 방법에 관한 것으로, 여기서 는 화학식 1의 폴리-o-하이드록시아미드를 가열시킨다. 가열하면 작은 분자, 일반적으로 물이 제거되면서 옥사졸 고리가 형성되어 본 발명에 따른 폴리벤즈옥사졸이 수득된다. 화학식 1의 폴리-o-하이드록시아미드가 폴리벤즈옥사졸로 환화되는 동안 일어나는 기작을 하기 반응식 1에 도식적으로 나타내었다:
Figure 112003022954661-pat00021
가열시, o-하이드록시아미드는 환화되어 옥사졸이 생성되고 물은 유리된다.
본 발명에 따른 방법에 의해 제조된 폴리벤즈옥사졸은 3.0 이하의 낮은 유전체 상수 k를 가지며, 칩 기술과 관련된 표면, 예를 들어 실리콘, 실리콘 카바이드, 실리콘 카보니트라이드, 실리콘 니트라이드, 실리카, 티탄, 탄탈, 티탄 니트라이드, 탄탈 니트라이드 또는 실리콘 옥시니트라이드에 매우 잘 부착된다.
그러므로, 본 발명은 또한 전술한 폴리벤즈옥사졸을 함유하는 전자 부품에 관한 것이다. 폴리벤즈옥사졸은, 예를 들어 전도체 트랙과 전도체 트랙면 사이에 유전체로서 배열되거나 또는 마이크로칩과 이를 둘러싸고 있는 하우징(housing) 사이에 완충 층으로 배열될 수 있다.
본 발명에 따른 유전체는 구리 상감 기술에 탁월하게 적합하다. 마쇄 공정 동안, 박리, 균열 또는 기포 형성과 같은 불리한 결과들이 발생하지 않는다.
그러므로, 본 발명은 전자 부품의 제조 방법에 관한 것으로, 여기서는 용매중의 화학식 1의 폴리-o-하이드록시아미드의 용액을 먼저 제조한다. 상기 용액을 기판에 적용하고 용매를 증발시켜 필름을 수득한다. 이어서, 폴리-o-하이드록시아미드를 환화시키고 이를 화학식 3의 폴리벤즈옥사졸로 전환시키기 위해 필름을 가열한다. 그 다음, 트렌치 및/또는 접촉 정공을 갖는 레지스트 구조물을 수득하기 위해 필름을 구조화한다. 이어서, 전도성 물질, 예를 들어 구리를 레지스트 구조물 위에 침착시켜 트렌치 및/또는 접촉 정공을 전도성 물질로 충전시킨다. 마지막으로, 과량의 전도성 물질을, 예를 들어 화학적 기계적 평탄화(CMP)에 의해 제거한다.
예를 들어, 폴리벤즈옥사졸 필름을 구조화하기 위해 석판인쇄 방법을 사용할 수 있으며, 내식성(etch-resistant) 마스크가 필름 위에 형성된다. 이어서, 마스크의 구조물을 에칭에 의해 본 발명에 따른 폴리벤즈옥사졸로부터의 필름으로 옮긴다. 사용된 전도성 물질은 구리가 바람직하다. 유전체와 전도성 물질 사이에 장벽을 제공할 수 있다. 예를 들어, 앞에서 이미 추가로 언급한 물질들이 장벽 재료로 적합하다. 그 다음, 마이크로칩을 통상적인 방법으로 완성한다.
본 발명은 또한 전자 부품의 제조 방법에 관한 것으로, 여기서는 용매중의 전술한 화학식 1의 폴리-o-하이드록시아미드의 용액을 먼저 제조한다. 이어서, 트렌치들이 사이에 배열되어 있는 금속 구조물을 그 표면 상에 이미 갖고 있는 기판에 상기 용액을 적용한다. 상기 구조물은, 예를 들어 전도체 트랙이다. 용매를 증발시켜 트렌치를 화학식 1의 폴리-o-하이드록시아미드로 충전시킨다. 마지막으로, 화학식 1의 폴리-o-하이드록시아미드를 폴리벤즈옥사졸로 환화시키기 위해 기판을 가열한다. 이어서, 마이크로칩을 통상적인 방법으로 완성한다.
극소 전자와 관련된 표면, 예를 들어 실리콘, 실리카, 실리콘 니트라이드, 탄탈 니트라이드, 유리 또는 석영과 같은 표면에 대한 화학식 1의 폴리-o-하이드록시아미드의 접착력은 접착 촉진제를 첨가함으로써 개선될 수 있다.
본 발명을 실시예를 기초로 하고 첨부된 도면을 참조로 하여 보다 상세히 설명한다.
사용된 화학물질은 다음과 같다:
비스아미노페놀:
9,9-비스(4-((3-하이드록시-4-아미노)페녹시)페닐)플루오렌 - (비스아미노페놀 1)
Figure 112003022954661-pat00022
2,2-비스(3-아미노-4-하이드록시페닐)헥사플루오로프로판 - (비스아미노페놀 2)
Figure 112003022954661-pat00023
3,3'-디아미노-4,4'-디하이드록시비페닐 - (비스아미노페놀 3)
Figure 112003022954661-pat00024
2,2-비스(3-아미노-4-하이드록시페닐) 설폰 - (비스아미노페놀 4)
Figure 112003022954661-pat00025
디카복실산 염화물:
9,9-비스(4-(4-클로로카보닐)페녹시)페닐플루오렌 - (디카복실산 염화물 1)
Figure 112003022954661-pat00026
4,4'-디(4-(클로로카보닐)페녹시)테트라페닐메탄 - (디카복실산 염화물 2)
Figure 112003022954661-pat00027
2,2'-디(4-(클로로카보닐)페녹시)-1,1'-비나프틸 - (디카복실산 염화물 3)
Figure 112003022954661-pat00028
2,7-디-3급-부틸-피렌-4,9-디카복실산 염화물 - (디카복실산 염화물 4)
Figure 112003022954661-pat00029
4,4'-디(클로로카보닐)디페닐 에테르 - (디카복실산 염화물 5)
Figure 112003022954661-pat00030
테레프탈산 이염화물 - (디카복실산 염화물 6)
Figure 112003022954661-pat00031
5-페닐에티닐이소프탈로일 염화물 - (디카복실산 염화물 7)
Figure 112003022954661-pat00032
말단 캡(endcap):
메타크릴로일 염화물 - (말단 캡 1)
Figure 112003022954661-pat00033
5-노르보넨-2-카복실산 염화물 - (말단 캡 2)
Figure 112003022954661-pat00034
5-노르보넨-2,3-디카복실산 무수물 - (말단 캡 3)
Figure 112003022954661-pat00035
아닐린 - (말단 캡 4)
Figure 112003022954661-pat00036
실시예
실시예 1: 중합체 1의 합성
56.42g(0.1 mol)의 비스아미노페놀 1을 400㎖의 증류된 N-메틸피롤리돈(NMP)에 용해시킨다. 400㎖의 증류된 NMP중의 59.48g(0.095 mol)의 디카복실산 염화물 1의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 교반을 더 지속한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 50㎖의 증류된 γ-부티로락톤(γ-BL)에 용해시킨 1.64g(0.01 mol)의 말단 캡 3을 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 30㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
중합체를 단리하기 위해, 반응 혼합물을 여과시키고 여과액을 1ℓ의 탈염수와 200㎖의 이소프로판올의 혼합물에 교반하면서 적가하고, 적가하는 동안 추가로 3ℓ의 탈염수를 첨가한다. 침전된 중합체를 흡입 여과하고 2ℓ의 차가운 탈염수로 세척한다. 흡입 여과시킨 후, 중합체를 실온에서 매회 2.5ℓ의 3% 농도의 암모니아 용액 중에서 1시간 동안 2회 교반한 후 흡입 여과한다. 중합체를 탈염수로 중성으로 세척하고 여과하고 50℃/10밀리바에서 72시간 동안 건조한다.
상기 방법으로 제조된 중합체는 NMP, γ-BL, 사이클로헥사논, 사이클로펜타논 또는 그의 혼합물과 같은 용매에 용이하게 용해된다.
실시예 2: 중합체 2의 합성
53.6g(0.095 mol)의 비스아미노페놀 1을 400㎖의 증류된 NMP에 용해시킨다. 400㎖의 증류된 γ-BL중의 62.8g(0.1 mol)의 디카복실산 염화물 2의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반을 지속한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 10㎖의 증류된 γ-BL에 용해시킨 0.93g(0.01 mol)의 말단 캡 4를 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 80㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 2를 단리하고 후처리하였다.
실시예 3: 중합체 3의 합성
18.3g(0.05 mol)의 비스아미노페놀 2를 200㎖의 증류된 NMP에 용해시킨다. 250㎖의 증류된 γ-BL중의 16.86g(0.03 mol)의 디카복실산 염화물 3 및 7.67g(0.0175 mol)의 디카복실산 염화물 4의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 20㎖의 증류된 γ-BL에 용해시킨 0.52g(0.005 mol)의 말단 캡 1을 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 30㎖의 증류된 γ-BL에 용해시킨 9.48g(0.12 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 3을 단리하고 후처리하였다.
실시예 4: 중합체 4의 합성
34.78g(0.095 mol)의 비스아미노페놀 2를 200㎖의 증류된 NMP에 용해시킨다. 200㎖의 증류된 γ-BL중의 31.3g(0.05 mol)의 디카복실산 염화물 1 및 14.7g(0.05 mol)의 디카복실산 염화물 5의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 50㎖의 증류된 γ-BL에 용해시킨 11.85g(0.15 mol)의 피리딘을 반응 혼합물에 첨가하고, 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 4를 단리하고 후처리하였다.
실시예 5: 중합체 5의 합성
10.8g(0.05 mol)의 비스아미노페놀 3을 200㎖의 증류된 NMP에 용해시킨다. 100㎖의 증류된 γ-BL중의 12.04g(0.0275 mol)의 디카복실산 염화물 4의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 10℃로 냉각시키고, 80㎖의 증류된 γ-BL에 용해시킨 4.04g(0.02 mol)의 디카복실산 염화물 6을 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 20㎖의 증류된 γ-BL에 용해시킨 0.78g(0.005 mol)의 말단 캡 2를 반응 혼합물에 적가하고 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 50㎖의 증류된 γ-BL에 용해시킨 11.85g(0.15 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 5를 단리하고 후처리하였다.
실시예 6: 중합체 6의 합성
26.6g(0.095 mol)의 비스아미노페놀 4를 300㎖의 증류된 NMP에 용해시킨다. 150㎖의 증류된 NMP중의 33.72g(0.06 mol)의 디카복실산 염화물 3의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 10℃로 냉각시키고, 100㎖의 γ-BL에 용해시킨 12.08g(0.04 mol)의 디카복실산 염화물 7을 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 10㎖의 증류된 γ-BL에 용해시킨 0.93g(0.01 mol)의 말단 캡 4를 반응 혼합물에 적가하고 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 80㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가 하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 6을 단리하고 후처리하였다.
실시예 7: 중합체 7의 합성
18.3g(0.05 mol)의 비스아미노페놀 2 및 14.0g(0.05 mol)의 비스아미노페놀 4를 300㎖의 증류된 NMP에 용해시킨다. 300㎖의 증류된 γ-BL중의 59.48g(0.095 mol)의 디카복실산 염화물 1의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 20㎖의 증류된 γ-BL에 용해시킨 1.64g(0.01 mol)의 말단 캡 3을 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 50㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 7을 단리하고 후처리하였다.
실시예 8: 중합체 8의 합성
21.96g(0.06 mol)의 비스아미노페놀 2 및 8.64g(0.04 mol)의 비스아미노페놀 3을 300㎖의 증류된 NMP에 용해시킨다. 350㎖의 증류된 γ-BL중의 28.1g(0.05 mol)의 디카복실산 염화물 3 및 13.23g(0.045 mol)의 디카복실산 염화물 5의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 50㎖의 증류된 γ-BL에 용해시킨 1.56g(0.01 mol)의 말단 캡 2를 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 50㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 8을 단리하고 후처리하였다.
실시예 9: 중합체 9의 합성
39.49g(0.07 mol)의 비스아미노페놀 1 및 8.4g(0.03 mol)의 비스아미노페놀 4를 300㎖의 증류된 NMP에 용해시킨다. 350㎖의 증류된 γ-BL중의 37.56g(0.06 mol)의 디카복실산 염화물 1 및 21.98g(0.035 mol)의 디카복실산 염화물 2의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 15㎖의 증류된 γ-BL에 용해시킨 1.56g(0.01 mol)의 말단 캡 2를 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 80㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 9를 단리하고 후처리하였다.
실시예 10: 중합체 10의 합성
12.96g(0.06 mol)의 비스아미노페놀 3을 150㎖의 증류된 NMP에 용해시킨다. 200㎖의 증류된 γ-BL중의 34.43g(0.055 mol)의 디카복실산 염화물 1의 용액을 10℃에서 교반하면서 상기 용액에 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 10℃로 냉각시키고, 100㎖의 증류된 NMP에 용해시킨 11.2g(0.04 mol)의 비스아미노페놀 4를 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각하고, 150㎖의 증류된 γ-BL에 용해시킨 11.76g(0.04 mol)의 디카복실산 염화물 5를 적가한다. 10℃에서 1시간 동안 더 교반한 후 20℃에서 1시간 동안 교반한다. 다시 10℃로 냉각한 후에, 20㎖의 증류된 γ-BL에 용해시킨 1.04g(0.01 mol)의 말단 캡 1을 반응 혼합물에 적가하고, 10℃에서 1시간 동안 교반한 후 20℃에서 1시간 동안 교반한다. 반응 혼합물을 10℃로 냉각한 후에, 50㎖의 증류된 γ-BL에 용해시킨 19.76g(0.25 mol)의 피리딘을 첨가하고, 반응 혼합물을 실온까지 가온시키고 2시간 동안 교반한다.
실시예 1과 유사하게 중합체 10을 단리하고 후처리하였다.
실시예 11: 열 안정성의 측정
기술한 모든 중합체들은 TGA 조사(장치: 레오메트릭 사이언티픽(Rheometric Scientific)의 STA 1500, 열 상승 속도: 5 K/분, 불활성 기체: 아르곤)에 따르면 490℃ 초과의 열 안정성을 갖는다. 시간 당 등온 질량 손실(425℃에서)은 0.6% 미만이다.
따라서, 기술된 중합체들은 앞에서 언급한 용도에 대한 필요조건들을 충족시킨다.
실시예 12: 중합체 용액의 제조
실시예 1 내지 10에 기술된 중합체 25g을 75g의 증류된 NMP(VLSI-셀렉티푸르(Selectipur), 등록상표) 또는 증류된 γ-BL(VLSI-셀렉티푸르, 등록상표)에 용해시킨다. 용해 공정은 편리하게 실온에서 진탕 장치 상에서 수행 한다. 이어서, 용액을 0.2㎛의 필터를 통해 가압하에 깨끗한, 입자가 없는 샘플 튜브에 여과시킨다. 중합체 용액의 점도는 중합체의 용해되는 질량을 변화시킴으로써 변화될 수 있다.
실시예 13: 접착 촉진제 용액에 의한 접착력의 개선
접착 촉진제를 사용하여, 극소 전자 공학에 관련된 표면, 예를 들어 실리콘, 실리카, 실리콘 니트라이드, 탄탈 니트라이드, 유리 또는 석영과 같은 표면에 대한 중합체의 접착력을 개선할 수 있다.
사용할 수 있는 접착 촉진제는, 예를 들어 하기 화합물들이다:
Figure 112003022954661-pat00037
0.5g의 접착 촉진제(예를 들어, N-(2-아미노에틸)-3-아미노프로필메틸디메톡시실란)를 실온에서 깨끗한, 입자가 없는 샘플 튜브 중에서 95g의 메탄올, 에탄올 또는 이소프로판올(VLSI-셀렉티푸르, 등록상표) 및 5g의 탈염수에 용해시킨다. 실온에서 24시간 동안 정치시킨 후, 접착 촉진제 용액을 사용할 수 있다. 상기 용액은 길어야 3주 동안 사용할 수 있다.
접착 촉진제는 접착적으로 결합될 부품들의 표면 위에 단분자층을 제공해야 한다. 접착 촉진제는 편리하게 회전-피복 기술에 의해 적용할 수 있다. 이를 위해, 접착 촉진제 용액을 접착적으로 결합될 표면 위에 0.2㎛의 예비필터를 통해 적용하고 5000 rpm에서 30초 동안 회전시킨다. 이어서, 100℃에서 60초 동안 건조 단계를 수행한다.
실시예 14: 회전-피복 방법에 의한 중합체의 적용 및 환화에 의한 폴리벤즈옥사졸의 수득
각각의 경우에 약 150㎚의 최소 치수 이하의 랜드(land) 및 트렌치를 갖는 가공된 실리콘 웨이퍼를 실시예 10에 기술된 바와 같이 접착 촉진제로 피복한다. 그런 후에, 실시예 1에 따라 합성된 중합체의 여과된 용액을 주사기를 사용하여 웨이퍼에 적용하고 회전 피복기에 의해 균질하게 분포시킨다. 회전 피복기의 속도는 2000 rpm이다. 이어서, 중합체를 열판 위에서 120℃에서 1분 및 200℃에서 2분 동안 가열한다. 그 다음, 피복된 웨이퍼를 오븐에서 질소 또는 아르곤하에 425℃로 60분 동안 가열한다. 상기와 같이 수득된 폴리벤즈옥사졸은 산, 염기 및 유기 용매에 대해 불활성이다.
실시예 15: 티탄 니트라이드 층에 대한 중합체의 접착력 측정
4 인치 실리콘 웨이퍼를 50㎚ 두께의 티탄 니트라이드 층으로 스퍼터링(sputtering)한다. 상기 언급한 용액을 500 rpm에서 5초 동안 및 2000 rpm에서 25초 동안 회전-피복시켜 상기 웨이퍼에 적용한다. 열판 상에서 120℃에서 1분 동안 단기간 약하게 베이킹(baking)한 후, 마찬가지로 표면 위에 50㎚ 티탄 니트라이드로 스퍼터링한, 4 ×4 ㎜2로 측정된 10개의 실리콘 칩을 2N의 힘을 가해 중합체 필름 위로 압착한다. 이어서, 상기 스택(stack)을 오븐에서 질소 대기하에 425℃에서 1시간 동안 가열한다. 실온으로 냉각한 후에, 데이지 시리즈(Dage Series) 400의 전단 시험기를 사용하여 접착력 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 18.07 N/㎜2이다.
실시예 16: 탄탈 니트라이드 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 탄탈 니트라이드로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 16.79 N/㎜2이다.
실시예 17: 실리콘에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 실리콘으로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. Si 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 18.85 N/㎜2이다.
실시예 18: 실리콘 니트라이드 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 실리콘 니트라이드로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 17.15 N/㎜2이다.
실시예 19: 실리카 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 실리카로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 17.83 N/㎜2이다.
실시예 20: 실리콘 카바이드 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 실리콘 카바이드로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 17.94 N/㎜2이다.
실시예 21: 탄탈 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 탄탈으로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 16.97 N/㎜2이다.
실시예 22: 티탄 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 티탄으로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 17.52 N/㎜2이다.
실시예 23: 폴리이미드 층에 대한 중합체의 접착력 측정
웨이퍼 및 칩의 표면이 티탄 니트라이드가 아니라 폴리이미드로 이루어진 것을 제외하고, 실시예 15에서와 정확히 동일한 방식으로 시험을 수행한다. 칩을 전 단시키는데 필요한, 중합체 1에 대한 힘의 평균값은 17.61 N/㎜2이다.
실시예 24: 접착력에 대한 비교 실시예
미국 특허 제 5,077,378 호의 실시예 1과 유사하게 제조한 중합체를 실시예 12에 기술된 바와 같이 NMP에 용해시킨다. 상기 용액을 가지고 실시예 15 내지 23을 반복한다. 다음의 평균값이 측정된다: 티탄 니트라이드 표면: 14.71 N/㎜2, 탄탈 니트라이드 표면: 15.69 N/㎜2, 실리콘 표면: 15.21 N/㎜2, 실리콘 니트라이드 표면: 14.03 N/㎜2, 실리카 표면: 14.94 N/㎜2, 실리콘 카바이드 표면: 13.37 N/㎜2, 탄탈 표면: 13.96 N/㎜2, 티탄 표면: 14.07 N/㎜2 및 폴리이미드 표면: 13.02 N/㎜2.
실시예 25: 유기 용매에 대한 화학 안정성 측정
중합체 1을 20% 농도 용액(용매 NMP)으로 500 rpm에서 5초 및 2000rpm에서 25초 동안 회전 피복시켜 3개의 4 인치 실리콘 웨이퍼에 적용한다. 열판 상에서 120℃에서 1분 동안 및 200℃에서 2분 동안 단기간 약하게 베이킹한 후, 웨이퍼를 오븐에서 질소 대기하에 400℃에서 1시간 동안 가열한다. 실온으로 냉각한 후, 1개의 피복된 웨이퍼를 각각 NMP 중에서, 아세톤 중에서 및 톨루엔 중에서 5시간 동안 50℃로 가열한다. 그런 후에, 웨이퍼를 진공하에 200℃에서 60분 동안 건조시키고, 질량 차이를 측정한다. 박리 현상은 관찰되지 않는다. 질량 감소: NMP - 1.1%; 아세톤 - 0.6%; 및 톨루엔 - 0.8%.
실시예 26: 산에 대한 화학 안정성 측정
중합체 2를 20% 농도 용액(용매 NMP)으로 500 rpm에서 5초 및 2000rpm에서 25초 동안 회전 피복시켜 3개의 4 인치 실리콘 웨이퍼에 적용한다. 열판 상에서 120℃에서 1분 동안 및 200℃에서 2분 동안 단기간 약하게 베이킹한 후, 웨이퍼를 오븐에서 질소 대기하에 400℃에서 1시간 동안 가열한다. 실온으로 냉각한 후, 1개의 피복된 웨이퍼를 각각 진한 HCl 중에서, 50% 농도의 황산 중에서 및 아세트산 중에서 5시간 동안 40℃로 가열한다. 그런 후에, 웨이퍼를 진공하에 200℃에서 60분 동안 건조시키고 질량 차이를 측정한다. 박리 현상은 관찰되지 않는다. 질량 감소: 진한 HCl - 0.4%; 50% H2SO4 - 0.5%; 및 아세트산 - 0.1%.
실시예 27: 염기에 대한 화학 안정성 측정
중합체 3을 20% 농도 용액(용매 NMP)으로 500 rpm에서 5초 및 2000rpm에서 25초 동안 회전 피복시켜 3개의 4 인치 실리콘 웨이퍼에 적용한다. 열판 상에서 120℃에서 1분 동안 및 200℃에서 2분 동안 단기간 약하게 베이킹한 후, 웨이퍼를 오븐에서 질소 대기하에 400℃에서 1시간 동안 가열한다. 실온으로 냉각한 후, 1개의 피복된 웨이퍼를 각각 50% 농도의 NaOH 중에서, 47% 농도의 KOH 중에서 및 진한 암모니아 중에서 5시간 동안 40℃로 가열한다. 그런 후에, 웨이퍼를 진공하에 200℃에서 60분 동안 건조시키고, 질량 차이를 측정한다. 박리 현상은 관찰되지 않는다. 질량 감소: 50% NaOH - 0.9%; 47% KOH - 1.0%; 및 진한 암모니아 - 0.2%.
실시예 28: 중합체 1의 유전체 상수 측정
유전체 상수를 측정하는데 사용되는 시험 장치는 도 1에 도시되어 있다. 중합체 1을 NMP에 용해(25% 농도 용액)시키고 용액을 기공이 0.2㎛인 필름 위로 가압하에 여과시킨다. 그 위에 600㎚ 두께의 Ti 층(2)이 이미 존재하는 기판(1)에 상기 용액을 회전 피복으로 적용한다. 층(3)을 열판 위에서 120℃ 및 200℃에서 각각의 경우에 2분 동안 건조시킨 후, 430℃에서 질소 대기하에 1시간 동안 가열한다. 이어서, 도 2에 도시된 섀도우 마스크를 통해 스퍼터링하여 티탄 전극(4)을 상기 층(3)에 적용한다. 이를 위해, 도 2에 도시된 섀도우 마스크는 치수 및 배열이 티탄 전극(4)에 상응하는 천공(aperture)(5)을 포함한다. 유전체 상수는 임피던스 분광계(6)를 사용하여 측정하며, 100 Hz 내지 1 MHz의 주파수 범위에서 2.41로 측정된다.
실시예 29: 중합체 2의 유전체 상수 측정
실시예 28과 유사하게 중합체 2의 유전체 상수를 측정하여 2.55의 값이 수득된다.
실시예 30: 중합체 3의 유전체 상수 측정
실시예 28과 유사하게 중합체 3의 유전체 상수를 측정하여 2.38의 값이 수득된다.
실시예 31: 중합체 4의 유전체 상수 측정
실시예 28과 유사하게 중합체 4의 유전체 상수를 측정하여 2.6의 값이 수득된다.
실시예 32: 중합체 8의 유전체 상수 측정
실시예 28과 유사하게 중합체 8의 유전체 상수를 측정하여 2.41의 값이 수득된다.
실시예 33: 유전체 상수에 대한 비교 실시예
미국 특허 제 5,077,378 호의 실시예 1과 유사하게 제조한 중합체를 가지고 실시예 28과 유사하게 시험을 수행한 결과 100 Hz 내지 1 MHz의 주파수 범위에서 3.1의 유전체 상수가 수득된다.
실시예 34: 수-흡수율 측정
중합체 1을 20% 농도 용액(용매 NMP)으로 500 rpm에서 5초 및 3500 rpm에서 25초 동안 회전 피복하여 무게를 알고 있는 4 인치의 실리콘 웨이퍼에 적용한다. 열판 위에서 120℃에서 1분 동안 단기간 약하게 베이킹한 후, 웨이퍼를 오븐에서 질소 대기하에 400℃에서 1시간 동안 가열한다. 중합체 1의 질량은 AT261 델타 레인지(Delta Range) 분석용 저울을 사용하여 측정한다.
이어서, 피복된 웨이퍼를 80℃에서 10시간 동안 물에 보관한다. 물을 배출시킨 후, 추가의 중량 측정을 수행한다. 폴리벤즈옥사졸의 질량을 기준으로 질량 차이로부터 수-흡수율을 계산한다.
수-흡수율은 0.75%로 측정된다.
실시예 35
스퍼터링에 의해 먼저 Ti-W 접착-촉진 층(100㎚)으로 피복된 다음 구리(500㎚)로 피복된 실리콘을 본 실시예에 사용한다.
실시예 1에 따라 합성된 중합체 1의 용액을 실시예 12에 따라 제조하고, 여과하고, 주사기를 사용하여 웨이퍼(Cu 표면)에 적용하고, 회전 피복기로 균질하게 분포시킨다. 이어서, 중합체를 열판 위에서 120℃에서 1분 동안 및 200℃에서 2분 동안 가열한다. 그 다음, 피복된 웨이퍼를 오븐에서 질소 또는 아르곤 하에 60분 동안 425℃로 가열한다. 이러한 방식으로 수득된 폴리벤즈옥사졸 필름의 표면은 현미경 조사에서 평활하고 깨끗한 것으로 입증된다. 표면에서 구리 입자 또는 덩어리는 검출되지 않는다.
중합체 2 내지 10을 가지고 시험을 반복한다. 결과는 동일하게 유지된다. 어떤 중합체의 경우에도 구리 확산은 탐지할 수 없다.
실시예 36(비교 실시예)
이번에는 유럽 특허 제 0264678 호(실시예 1)의 중합체를 사용하는 것을 제외하고, 실시예 35의 시험을 정확히 동일한 방식으로 반복한다. 가열 후, 생성된 폴리벤즈옥사졸 필름의 표면상에서 확산된 구리를 볼 수 있다.
본 발명에 따른 화학식 1의 폴리-o-하이드록시아미드는 환화되어 간단한 방법으로 폴리벤즈옥사졸로 전환될 수 있다. 상기 폴리벤즈옥사졸은 금속 확산에 대한 장벽 효과가 우수하여 전도체 트랙과 유전체 사이에 확산 장벽을 불필요하게 하므로, 마이크로칩과 같은 전자 부품에서 고온에서 안정하며 보다 미세한 전도체 트랙의 제조가 가능하게 된다.

Claims (13)

  1. 하기 화학식 1의 폴리-o-하이드록시아미드:
    화학식 1
    Figure 112003022954661-pat00038
    상기 식에서,
    Y2
    Figure 112003022954661-pat00039
    이고;
    Y1 및 Y3은 각각의 경우에 서로 독립적으로 Y2와 동일한 의미를 가지며,
    Figure 112003022954661-pat00040
    이고;
    Z1, Z2 및 Z3은 각각의 경우에 독립적으로
    Figure 112003022954661-pat00041
    이고;
    A는 a가 0이고/이거나 d가 1인 경우에는
    Figure 112003022954661-pat00042
    Figure 112003022954661-pat00043
    Figure 112003022954661-pat00044
    이고,
    A는 a가 1이고/이거나 d가 0인 경우에는
    Figure 112003022954661-pat00045
    이고;
    E는
    Figure 112003022954661-pat00046
    Figure 112003022954661-pat00047
    이고;
    W는
    Figure 112003022954661-pat00048
    Figure 112003022954661-pat00049
    이고;
    T는
    Figure 112003022954661-pat00050
    이고;
    Q는
    Figure 112003022954661-pat00051
    이고;
    R1
    Figure 112003022954661-pat00052
    이고;
    R2는 -H, -CF3, -OH, -SH, -COOH, -N(R5)2, 알킬 기, 아릴 기, 헤테로아릴 기,
    Figure 112003022954661-pat00053
    이고;
    R3
    Figure 112003022954661-pat00054
    이고;
    R4
    Figure 112003022954661-pat00055
    이고;
    R5는 알킬, 아릴 또는 헤테로아릴 라디칼이고;
    a는 0 또는 1이고;
    b는 1 내지 200이고;
    c는 0 내지 200이고;
    d는 0 또는 1이고;
    e는 0 내지 10이고;
    f는 0 내지 10이고;
    g는 0 내지 10이고;
    h는 1 내지 10이고;
    n은 0 또는 1이고;
    x는 R3이 -CH2-인 경우 0 내지 10이다.
  2. 제 1 항에 있어서,
    b가 5 내지 50이고/이거나 c가 0 내지 50인 폴리-o-하이드록시아미드.
  3. 제 1 항에 있어서,
    n이 1인 폴리-o-하이드록시아미드.
  4. 제 1 항에 있어서,
    폴리프로필렌 옥사이드, 폴리메틸 메타크릴레이트, 폴리프로필렌 카보네이트 또는 폴리에틸렌 카보네이트로부터 유도된, 열에 불안정하고 가열시 기체를 방출하는 반복 단위가 화학식 1의 폴리-o-하이드록시아미드에 추가로 제공된 폴리-o-하이드록시아미드.
  5. 제 4 항에 있어서,
    폴리프로필렌 옥사이드, 폴리메틸 메타크릴레이트, 폴리프로필렌 카보네이트 또는 폴리에틸렌 카보네이트로부터 유도된, 열에 불안정한 반복 단위가 화학식 1의 폴리-o-하이드록시아미드에 블록(block)으로 배열된 폴리-o-하이드록시아미드.
  6. 삭제
  7. 하나 이상의 하기 화학식 2의 단량체를 하나 이상의 하기 화학식 3의 디카복실산 또는 하나의 활성화 디카복실산 유도체와 반응시키는, 화학식 1의 폴리-o-하이드록시아미드를 제조하는 방법:
    화학식 2
    Figure 112003022954661-pat00056
    화학식 3
    Figure 112003022954661-pat00057
    상기 식에서,
    Z는 Z1, Z2 또는 Z3이고;
    Z1, Z2, Z3 및 R1은 제 1 항에서 정의된 의미를 가지며;
    L은 하이드록실 기 또는 활성화 기이고;
    Y는 Y1, Y2 또는 Y3이고;
    Y1, Y2 및 Y3은 제 1 항에서 정의된 의미를 갖는다.
  8. 제 7 항에 있어서,
    반응을 염기의 존재하에 수행하는 방법.
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 따른 화학식 1의 폴리-o-하이드록시아미드를 가열시키는 폴리벤즈옥사졸의 제조 방법.
  10. 제 9 항에 따라 제조되는 전자 부품용 폴리벤즈옥사졸.
  11. 용매 중 화학식 1의 폴리-o-하이드록시아미드의 용액을 제조하고, 용액을 기판에 적용하고 용매를 증발시켜 필름을 수득하고, 화학식 1의 폴리-o-하이드록시아미드를 환화시켜 제 10 항에 따른 폴리벤즈옥사졸을 수득하기 위해 상기 필름을 가열하고, 트렌치(trench) 및 접촉 정공(hole)을 갖는 레지스트 구조물을 수득하기 위해 상기 필름을 구조화하고, 상기 레지스트 구조물 상에 전도성 물질을 침착시켜 트렌치 및 접촉 정공을 전도성 물질로 충전시키고, 과량의 전도성 물질을 제거하는, 전자 부품의 제조 방법.
  12. 용매 중 화학식 1의 폴리-o-하이드록시아미드의 용액을 제조하고, 트렌치 및 접촉 정공이 사이에 배열되어 있는 금속 구조물을 표면상에 갖는 기판에 상기 용액을 적용하고, 용매를 증발시켜 트렌치 및 접촉 정공을 화학식 1의 폴리-o-하이드록시아미드로 충전시키고, 화학식 1의 폴리-o-하이드록시아미드를 제 10 항에 따른 폴리벤즈옥사졸로 환화시키기 위해 상기 기판을 가열하는, 전자 부품의 제조 방법.
  13. 제 11 항 또는 제 12 항에 있어서,
    화학식 1의 폴리-o-하이드록시아미드의 용액에 기공형성제를 첨가하는 방법.
KR1020030041909A 2002-06-27 2003-06-26 구리 확산에 대한 장벽 효과를 갖는 유전체 KR100579336B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE10228770.8 2002-06-27
DE10228770A DE10228770A1 (de) 2002-06-27 2002-06-27 Dielektrikum mit Sperrwirkung gegen Kupferdiffusion

Publications (2)

Publication Number Publication Date
KR20040002741A KR20040002741A (ko) 2004-01-07
KR100579336B1 true KR100579336B1 (ko) 2006-05-12

Family

ID=29716688

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030041909A KR100579336B1 (ko) 2002-06-27 2003-06-26 구리 확산에 대한 장벽 효과를 갖는 유전체

Country Status (7)

Country Link
US (1) US6806344B2 (ko)
EP (1) EP1375559B1 (ko)
JP (1) JP4041019B2 (ko)
KR (1) KR100579336B1 (ko)
CN (1) CN1286801C (ko)
DE (2) DE10228770A1 (ko)
SG (1) SG114619A1 (ko)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10115882B4 (de) * 2001-03-30 2006-08-24 Infineon Technologies Ag Polyhydroxamide, daraus durch Cyclisierung erhältliche Polybenzoxazole, Verfahren zur Herstellung der Polyhydroxamide und Polybenzoxazole, Beschichtungsmaterial für elektronische Bauelemente, elektronische Bauteile mit einer Schicht der Polybenzoxazole, Verfahren zur Beschichtung von Substraten mit den Polyhydroxamiden, Verwendung von Polybenzoxazolen als Isolier- und/oder Schutzschicht und Zusammensetzung enthaltend das Polyhydroxamid
DE10145469B4 (de) * 2001-09-14 2006-07-06 Infineon Technologies Ag Poly-o-hydroxyamid und Verfahren zu seiner Weiterverarbeitung zu Polybenzoxazol
DE10228769A1 (de) 2002-06-27 2004-02-05 Infineon Technologies Ag Isoliermaterial für Aluminium und Kupfermetallisierungen
US7081674B2 (en) 2003-06-13 2006-07-25 Rensselaer Polytechnic Institute Polyelectrolyte nanolayers as diffusion barriers in semiconductor devices
JP2005311069A (ja) * 2004-04-21 2005-11-04 Jsr Corp 半導体装置およびその製造方法
CN100481378C (zh) * 2004-05-21 2009-04-22 Jsr株式会社 层叠体及半导体装置
JP2006219396A (ja) * 2005-02-09 2006-08-24 Jfe Chemical Corp 芳香族ジアミン化合物及びその製造方法
JP2006286878A (ja) * 2005-03-31 2006-10-19 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置製造方法
JP2007081162A (ja) * 2005-09-14 2007-03-29 Sumitomo Bakelite Co Ltd 半導体装置およびその製造方法
JP2008016640A (ja) * 2006-07-06 2008-01-24 Consortium For Advanced Semiconductor Materials & Related Technologies 半導体装置
DE102009001919B4 (de) * 2009-03-26 2013-10-02 Semikron Elektronik Gmbh & Co. Kg Verfahren zum Herstellen einer Mehrzahl von integrierten Halbleiterbauelementen
JP7145126B2 (ja) 2018-08-01 2022-09-30 信越化学工業株式会社 ポリアミド、ポリアミドイミド、ポリイミド構造を含む重合体、感光性樹脂組成物、パターン形成方法、感光性ドライフィルム及び電気・電子部品保護用皮膜

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE2931297A1 (de) 1979-08-01 1981-02-19 Siemens Ag Waermebestaendige positivresists und verfahren zur herstellung waermebestaendiger reliefstrukturen
US4611053A (en) * 1985-02-15 1986-09-09 Sasa Michiyuki Mitch Polyhydroxamide polymer
US5155175A (en) 1989-12-08 1992-10-13 Intellectual Property Law Dept. Crosslinkable fluorinated polyarylene ether composition
US5173542A (en) 1989-12-08 1992-12-22 Raychem Corporation Bistriazene compounds and polymeric compositions crosslinked therewith
EP0761716B1 (de) * 1995-08-31 2001-02-28 Infineon Technologies AG Verfahren zur Herstellung von Poly-o-hydroxyamiden und Poly-o-mercaptoamiden
CN1103329C (zh) 1995-09-12 2003-03-19 陶氏化学公司 乙炔基取代的芳族化合物,其合成,聚合物及其用途
DE10011608A1 (de) 2000-03-10 2001-10-18 Infineon Technologies Ag Bis-o-aminophenole und o-Aminophenolcarbonsäuren
DE10011604A1 (de) * 2000-03-10 2001-10-04 Infineon Technologies Ag Polybenzoxazol-Vorstufen
JP2002174609A (ja) * 2000-12-08 2002-06-21 Sumitomo Bakelite Co Ltd 高分子感湿材料

Also Published As

Publication number Publication date
SG114619A1 (en) 2005-09-28
JP4041019B2 (ja) 2008-01-30
US20040063895A1 (en) 2004-04-01
KR20040002741A (ko) 2004-01-07
DE10228770A1 (de) 2004-02-12
CN1468839A (zh) 2004-01-21
DE50306084D1 (de) 2007-02-08
CN1286801C (zh) 2006-11-29
EP1375559B1 (de) 2006-12-27
US6806344B2 (en) 2004-10-19
JP2004068005A (ja) 2004-03-04
EP1375559A1 (de) 2004-01-02

Similar Documents

Publication Publication Date Title
KR100579336B1 (ko) 구리 확산에 대한 장벽 효과를 갖는 유전체
JP2001163975A (ja) ポリベンゾオキサゾール樹脂及びその前駆体
KR100573674B1 (ko) 알루미늄 및 구리 금속화를 위한 절연 물질
JP3681106B2 (ja) 有機絶縁膜材料および有機絶縁膜
JP4945858B2 (ja) 有機絶縁膜用材料及び有機絶縁膜
US20030176623A1 (en) Poly-o-hydroxyamides, polybenzoxazoles, processes for producing poly-o-hydroxyamides, processes for producing polybenzoxazoles, dielectrics including a polybenzoxazole, electronic components including the dielectrics, and processes for manufacturing the electronic components
KR100625767B1 (ko) 전자 소자용 코팅 물질
US4507333A (en) Biphenylene end-capped quinoxaline polymers and their use as insulating coatings for semiconductor devices
JP4586229B2 (ja) 有機絶縁膜及びその有機絶縁膜材料の製造方法
US6750317B2 (en) Material and additive for highly crosslinked chemically and thermally stable polyhydroxyamide polymers
JP4128381B2 (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP4547814B2 (ja) 絶縁膜用材料、絶縁膜用コーティングワニス、絶縁膜、及びこれを用いた半導体
JP3998987B2 (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP3945220B2 (ja) 低誘電率重合体
US20040028821A1 (en) Methods for producing a dielectric, dielectric having self-generating pores, monomer for porous dielectrics, process for preparing poly-o-hydroxyamides, process for preparing polybenzoxazoles, and processes for producing an electronic component
JP4004004B2 (ja) ポリベンゾオキサゾール前駆体、絶縁材用樹脂組成物およびこれを用いた絶縁材
JP2004051781A (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP2001139684A (ja) 多層配線用層間絶縁膜並びにそれに用いる樹脂及びその製造方法
JP4300807B2 (ja) 絶縁膜用樹脂組成物、コーティングワニス、絶縁膜及びそれらを用いた半導体装置
JP2004091651A (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP2004055340A (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP2004095236A (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP2004091652A (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP2004095235A (ja) 絶縁膜用コーティングワニス及び絶縁膜
JP2003268305A (ja) 絶縁膜用コーティングワニス及び絶縁膜

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130425

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140424

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150423

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee