KR100573993B1 - 복합재료및그제조방법,기체처리장치및그제작방법,기체재치스테이지및그제작방법,및기체처리방법 - Google Patents

복합재료및그제조방법,기체처리장치및그제작방법,기체재치스테이지및그제작방법,및기체처리방법 Download PDF

Info

Publication number
KR100573993B1
KR100573993B1 KR1019980002468A KR19980002468A KR100573993B1 KR 100573993 B1 KR100573993 B1 KR 100573993B1 KR 1019980002468 A KR1019980002468 A KR 1019980002468A KR 19980002468 A KR19980002468 A KR 19980002468A KR 100573993 B1 KR100573993 B1 KR 100573993B1
Authority
KR
South Korea
Prior art keywords
aluminum
base material
gas
ceramic layer
ceramic
Prior art date
Application number
KR1019980002468A
Other languages
English (en)
Other versions
KR19980070896A (ko
Inventor
신고 가도무라
케이 다카츠
신스케 히라노
Original Assignee
소니 가부시끼 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 소니 가부시끼 가이샤 filed Critical 소니 가부시끼 가이샤
Publication of KR19980070896A publication Critical patent/KR19980070896A/ko
Application granted granted Critical
Publication of KR100573993B1 publication Critical patent/KR100573993B1/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B18/00Layered products essentially comprising ceramics, e.g. refractory products
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/003Joining burned ceramic articles with other burned ceramic articles or other articles by heating by means of an interlayer consisting of a combination of materials selected from glass, or ceramic material with metals, metal oxides or metal salts
    • C04B37/006Joining burned ceramic articles with other burned ceramic articles or other articles by heating by means of an interlayer consisting of a combination of materials selected from glass, or ceramic material with metals, metal oxides or metal salts consisting of metals or metal salts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/10Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on aluminium oxide
    • C04B35/111Fine ceramics
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/01Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics
    • C04B35/16Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silicates other than clay
    • C04B35/18Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on oxide ceramics based on silicates other than clay rich in aluminium oxide
    • C04B35/195Alkaline earth aluminosilicates, e.g. cordierite or anorthite
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/56Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides
    • C04B35/565Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on carbides or oxycarbides based on silicon carbide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/581Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/622Forming processes; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/64Burning or sintering processes
    • C04B35/65Reaction sintering of free metal- or free silicon-containing compositions
    • C04B35/652Directional oxidation or solidification, e.g. Lanxide process
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/71Ceramic products containing macroscopic reinforcing agents
    • C04B35/78Ceramic products containing macroscopic reinforcing agents containing non-metallic materials
    • C04B35/80Fibres, filaments, whiskers, platelets, or the like
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/003Joining burned ceramic articles with other burned ceramic articles or other articles by heating by means of an interlayer consisting of a combination of materials selected from glass, or ceramic material with metals, metal oxides or metal salts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/02Joining burned ceramic articles with other burned ceramic articles or other articles by heating with metallic articles
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B37/00Joining burned ceramic articles with other burned ceramic articles or other articles by heating
    • C04B37/02Joining burned ceramic articles with other burned ceramic articles or other articles by heating with metallic articles
    • C04B37/023Joining burned ceramic articles with other burned ceramic articles or other articles by heating with metallic articles characterised by the interlayer used
    • C04B37/026Joining burned ceramic articles with other burned ceramic articles or other articles by heating with metallic articles characterised by the interlayer used consisting of metals or metal salts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/45Coating or impregnating, e.g. injection in masonry, partial coating of green or fired ceramics, organic coating compositions for adhering together two concrete elements
    • C04B41/52Multiple coating or impregnating multiple coating or impregnating with the same composition or with compositions only differing in the concentration of the constituents, is classified as single coating or impregnation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/50Constituents or additives of the starting mixture chosen for their shape or used because of their shape or their physical appearance
    • C04B2235/52Constituents or additives characterised by their shapes
    • C04B2235/5208Fibers
    • C04B2235/526Fibers characterised by the length of the fibers
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2235/00Aspects relating to ceramic starting mixtures or sintered ceramic products
    • C04B2235/02Composition of constituents of the starting material or of secondary phases of the final product
    • C04B2235/50Constituents or additives of the starting mixture chosen for their shape or used because of their shape or their physical appearance
    • C04B2235/52Constituents or additives characterised by their shapes
    • C04B2235/5208Fibers
    • C04B2235/5264Fibers characterised by the diameter of the fibers
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/02Aspects relating to interlayers, e.g. used to join ceramic articles with other articles by heating
    • C04B2237/04Ceramic interlayers
    • C04B2237/06Oxidic interlayers
    • C04B2237/062Oxidic interlayers based on silica or silicates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/02Aspects relating to interlayers, e.g. used to join ceramic articles with other articles by heating
    • C04B2237/12Metallic interlayers
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/02Aspects relating to interlayers, e.g. used to join ceramic articles with other articles by heating
    • C04B2237/12Metallic interlayers
    • C04B2237/121Metallic interlayers based on aluminium
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/02Aspects relating to interlayers, e.g. used to join ceramic articles with other articles by heating
    • C04B2237/12Metallic interlayers
    • C04B2237/122Metallic interlayers based on refractory metals
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/02Aspects relating to interlayers, e.g. used to join ceramic articles with other articles by heating
    • C04B2237/12Metallic interlayers
    • C04B2237/123Metallic interlayers based on iron group metals, e.g. steel
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/341Silica or silicates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/34Oxidic
    • C04B2237/343Alumina or aluminates
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/365Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/36Non-oxidic
    • C04B2237/366Aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/30Composition of layers of ceramic laminates or of ceramic or metallic articles to be joined by heating, e.g. Si substrates
    • C04B2237/32Ceramic
    • C04B2237/38Fiber or whisker reinforced
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/62Forming laminates or joined articles comprising holes, channels or other types of openings
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/64Forming laminates or joined articles comprising grooves or cuts
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/68Forming laminates or joining articles wherein at least one substrate contains at least two different parts of macro-size, e.g. one ceramic substrate layer containing an embedded conductor or electrode
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B2237/00Aspects relating to ceramic laminates or to joining of ceramic articles with other articles by heating
    • C04B2237/50Processing aspects relating to ceramic laminates or to the joining of ceramic articles with other articles by heating
    • C04B2237/72Forming laminates or joined articles comprising at least two interlayers directly next to each other
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249955Void-containing component partially impregnated with adjacent component
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]
    • Y10T428/249955Void-containing component partially impregnated with adjacent component
    • Y10T428/249956Void-containing component is inorganic

Abstract

재료간의 열팽창의 상위에 기인한 손상발생을 회피할 수 있고, 고온에서의 사용에 충분히 이겨낼 수 있는 복합재료를 이용한 기체처리장치를 제공한다.
기체를 처리하기 위한 기체처리장치는, 그 일부(예를 들면 기체재치 스테이지 10)가, 예를 들면 코디에라이트 세라믹스, 질화 알루미늄, 탄화규소로 이루어지는 세라믹스부재의 조직중에 알루미늄계 재료(예를 들면, 알루미늄 또는 알루미늄과 규소)가 충전된 모재 12 와, 해당 모재 12 의 표면에 설치된 세라믹스층(예를 들면 Al2O3나 AlN으로 이루어진다) 13 으로 이루어지는 복합재료 11 로 구성되어 있다.

Description

복합재료 및 그 제조방법, 기체처리장치 및 그 제작방법, 기체재치스테이지 및 그 제작방법, 및 기체처리방법
본 발명은, 복합재료 및 그 제조방법, 기체(基體)처리장치 및 그 제조방법, 기체재치(載置)스테이지 및 그 제조방법, 및 기체처리방법에 관한 것이다.
근년의 초(超)LSI에 있어서는, 수mm각(角)의 칩에 수백만개 이상의 소자를 집적하는 것이 요구되고 있다. 그리고, 초LSI의 미세가공을 실현하기 위한 드라이에칭기술이나, 박막성막기술의 하나인 화학적 기상성장법(氣相成長法)(CVD)에도 한층 더 고정밀도화가 요구되고 있으며, 가스케미스트리나 플라즈마소스, 웨이퍼온도제어 등에 연구를 집중시킨 프로세스가 제안되어 있다.
반도체장치의 제조에 있어서는, 플라즈마에칭처리나 플라즈마CVD처리 등, 각종의 반도체기판이나 웨이퍼, 또는 이들의 위에 형성된 각종의 박막에 대하여 플라즈마처리를 실시하는 프로세스가 많이 존재한다. 그리고, 각종의 반도체기판이나 웨이퍼, 또는 이들의 위에 형성된 각종의 박막을, 다음에, 총칭하여 기체(基體)라고 부르는 경우가 있다. 이들의 플라즈마처리프로세스에 있어서는, 특히 플라즈마에칭처리 등에 있어서, 그 가공정밀도를 높이기 위해, 상온 내지 0˚C이하의 저온에 기체를 유지한 상태에서의 플라즈마처리가 채용되고 있다. 그러므로, 기체의 온도제어나 온도관리가 중요한 것이 인식되고 있다.
그런데, 근년, 반도체장치에 있어서의 다층배선기술의 진보에 따라서, 예를 들면 배선의 저저항화를 위해, 또는, 내(耐)일렉트로마이그레이션특성의 향상을 위해, 배선재료로서 동(銅(Cu))을 사용하는 요구가 있고, 이러한 동으로 구성된 배선재료를 적절히 드라이에칭하는 기술의 개발이 진행되고 있다. 또는, 갭필기술에 고밀도 플라즈마CVD처리를 채용하는 요구가 있다. 그러므로, 상온 내지 저온으로 플라즈마처리를 행하는 프로세스뿐만 아니라, 기체를 고온으로 유지한 상태에서 플라즈마처리를 행하는 프로세스에 있어서도, 그 중요도가 늘어나고 있다.
그런데, 이와 같은 고온에서의 플라즈마처리에 있어서는, 에칭처리에 있어서의 기체에 대한 이온충격이나, 갭필CVD처리에 있어서의 고밀도 플라즈마의 기체에의 조사(照射) 등에 기인하여, 플라즈마로부터 기체에 커다란 입열(入熱)이 생긴다. 그 결과, 예를 들면, 기체의 온도가 플라즈마 발생전에 비해 40˚C정도 내지 100˚C정도 이상이나 상승해버리는 일이 있다. 따라서, 기체를 유지하는 기체재치스테이지(예를 들면 웨이퍼스테이지)에 의해 기체를 가열하여, 고온하에서 플라즈마처리를 행하는 프로세스에 있어서도, 플라즈마로부터 기체에의 입열의 영향을 억제하여, 기체를 높은 정밀도로 설정온도에 제어하는 기술이 중요하다.
또, 에칭장치나 CVD장치라고 하는 각종 기체처리장치에 있어서의 프로세스파라미터의 하나로서, 기체처리장치의 측벽이나 상판의 제어도 중요하다. 또, 에칭장치에 있어서의 상부대향전극의 제어도 중요하다. 이들 장치의 측벽이나 상판, 상부대향전극(이하, 이들을 총칭하여 측벽 등으로 부르는 경우가 있음)에는, 에칭처리나 CVD처리에 있어서 생성된 반응물이 퇴적하기 쉽다. 이와 같은 퇴적된 반응물이 장치의 측벽 등으로부터 벗겨지면, 파티클레벨의 악화로 이어진다. 또는, 산화막의 에칭처리시에 에칭장치의 측벽 등에 폴리머 등의 프리커서가 퇴적되면, 측벽 등이, 마치 플루오로카본폴리머 전구체(前驅體)의 스카벤저로서의 역할을 완수하고, 그 결과, 예를 들면, 플라즈마중의 탄소/불소의 비(比)에 변동이 생겨, 에칭특성이 열화한다고 하는 문제도 생긴다. 그러므로, 측벽 등을 고온가열함으로써, 에칭장치의 측벽 등에 입사, 퇴적된 프리커서를 이탈시켜, 퇴적을 방지하는 수법이 채용되고 있다
그런데, 종래의 기술에서는, 고온하에 있어서의 기체의 온도제어는 충분한 것이라고는 할 수 없다. 종래의 기술에 있어서는, 프로세스처리중에 전술한 정도의 온도상승이 기체에 일어나는 것이 당연하게 되고, 이와 같은 기체의 온도상승을 예상하여 미리 기체재치스테이지의 온도를 약간 낮게 설정하고 있다. 그리고, 이와 같은 기체의 온도상승을 예상하여 프로세스를 진행시키므로, 프로세스시간이 연장되어, 스루풋이 저하하거나, 온도변화가 큼에 따라 프로세스의 재현성이나 제어성이 저하한다고 하는 등, 개선해야 할 문제가 많이 남아 있다.
이와 같은 문제를 해결하는 수단의 하나로서, 고온으로 가열되는 기체재치스테이지의 위에 정전(靜電)처크를 탑재하는 것이 고려된다. 그러나, 기체재치스테이지의 위에 정전처크를 탑재하기 위해서는, 가열된 기체재치스테이지와 정전처크를 구성하는 유전체와의 접합을 어떻게 하느냐 하는 큰 문제가 있고, 이 문제가 정전처크를 탑재한 기체재치스테이지의 실용화를 저해해 왔다. 즉, 고온가열사양(仕樣)의 기체재치스테이지에 있어서는, 정전처크를 통해 기체를 기체재치스테이지상에 흡착고정했을 때, 기체에 효율 양호하게 열을 전달하는 것이 필요하게 된다. 따라서, 기체재치스테이지와 정전처크와는 열전도가 양호한 상태에서 접합되어 있는 것이 필요하다.
그런데, 에칭장치나 CVD장치, 스퍼터장치라고 하는 기체처리장치에 있어서의 기체재치스테이지의 재료로서는, 열전도율이 높거나 가공의 용이 등으로, 알루미늄(Al)이 사용되는 일이 많다. 그리고, 알루미늄의 선팽창율은 약 23×10-6/K이다. 또, 일반적으로, 정전처크를 구성하는 유전자로서는 세라믹스재료가 사용되고 있다. 그러므로, 기체재치스테이지와 정전처크와를 직접 접합한 경우, 정전처크를 구성하는 세라믹스재료와 기체재치스테이지를 구성하는 알루미늄과의 선팽창율의 차이에 기인하여, 기체재치스테이지의 가열·냉각에 의해 세라믹스재료에 균열 등의 손상이 생기는 결과, 정전처크가 파괴되어버리고 만다고 하는 문제가 있다.
그러므로, 현재로서는 정전처크를 나사고정 등의 방법으로 기체재치스테이지에 고정하고 있다. 그러나, 이와 같은 구조에서는, 기체처리장치내를 감압상태로 한 경우, 정전처크와 기체재치스테이지와의 접합경계면이 진공단열되어 버려, 정전처크를 통한 기체재치스테이지와 기체와의 사이의 열교환의 효율이 나빠지는 결과, 기체가 플라즈마로부처 열을 받아, 설정온도 이상으로 기체의 온도가 상승해 버린다.
또, 기체처리장치의 측벽 등은, 통상, 스테인레스스틸이나 알루미늄으로 제작된다. 그리고, 예를 들면 에칭처리중에, 측벽 등이 플라즈마에 직접 쬐게 되는 것에 기인한 금속오염의 발생방지나, 할로겐가스에 의한 측벽 등의 부식의 발생방지를 위해, 알루미늄으로 제작된 측벽 등의 표면에 Al2O3층(알마이트층)을 형성하고 있다. 또, 스테인레스스틸로 측벽이 제작되어 있는 경우에는, Al2O3제의 리플렉터를 기체처리장치의 내부의 측벽 근방에 배설하고 있다.
이와 같은 상태에서 기체처리장치의 가열을 행하면, 측벽 등이 알루미늄으로 제작되어 있는 경우, 알루미늄과 Al2O3의 선팽창율의 차이에 기인하여, 측벽 등의 표면에 형성된 Al2O3층에 균열 등이 생기기 쉽다. 또, Al2O3제의 리플렉터를 기체처리장치의 내부의 측벽 근방에 배설한 경우, 기체처리장치의 외측으로부터 리플렉터를 충분히 가열하는 것이 곤란하다. 즉, 리플렉터에 입사한 프리커서를 리플렉터로부터 모두 이탈시키도록 하는 온도까지 리플렉터를 가열하는 것은 어려워, 기껏해야 100˚C정도까지 리플렉터를 가열할 수밖에 없다.
그리고, 이상에 있어서는, 오로지 반도체장치의 제조에 있어서의 각종의 문제점을 설명했지만, 이들의 문제점을 해결할 수 있는 기술, 즉, 세라믹스재료가 표면에 형성된 금속재료로 이루어지는 복합재료에 있어서의 재료간의 선팽창율 차이에 기인한 세라믹스재료의 손상발생이나, 복합재료를 사용하는 환경에 있어서의 금속오염의 발생이나 부식발생을, 효과적으로 회피할 수 있는 복합재료의 제공이, 여러 산업분야에 있어서 강하게 요구되고 있다.
따라서, 본 발명의 목적은 재료간의 열팽차의 상위에 기인한 손상발생을 회피할 수 있고, 고온에서의 사용에 충분히 견딜 수 있고, 금속오염의 발생을 방지할 수 있고, 높은 내부식성을 가지고, 예를 들면 기체의 고온처리 등을 가능하게 하는 복합재료 및 그 제조방법, 이러한 복합재료를 사용한 기체처리장치 및 그 제조방법, 기체재치스테이지 및 그 제조방법, 및 이러한 기체처리장치를 사용한 기체처리방법을 제공하는 것에 있다.
상기의 목적을 달성하기 위한 본 발명의 복합재료는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재(母材)와 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 것을 특징으로 한다.
또, 상기의 목적을 달성하기 위한 본 발명의 복합재료는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료로서, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 것을 특징으로 한다. 이 경우, 기체처리에 있어서는, 예를 들면, 기체에 대하여 플라즈마처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지인 형태로 할 수 있다. 또는, 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 기체처리장치의 측벽 및/ 또는 상판인 형태로 할 수 있다. 또한, 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 평행평판의 상부대향전극인 형태로 할 수도 있다.
상기의 목적을 달성하기 위한 본 발명의 기체를 처리하기 위한 기체처리장치는, 그 일부가, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있는 것을 특징으로 한다.
본 발명의 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부를, 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지로 할 수 있다. 그리고, 이와 같은 기체처리장치를, 본 발명의 제1의 양태에 관한 기체처리장치로 부르는 경우가 있다. 이 경우, 기체재치스테이지를 전극으로서 사용할 수 있고, 이 때, 세라믹스층은 정전처크기능을 발휘한다.
본 발명의 제1의 양태에 관한 기체처리장치에 있어서는, 기체재치스테이지의 정확 또한 신속한 온도제어를 위해, 기체재치스테이지에는 온도제어수단이 배설되어 있는 것이 바람직하다. 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 그리고, 히터를 구성하는 재료로는, 히터의 모재와 접하는 부분(예를 들면 초관)을 구성하는 재료를 의미한다. 다음에 있어서도 마찬가지이다. 또한, 온도제어수단은, 모재의 내부에 배설된 온도제어용 열매체가 흐르는 배관으로 구성되어 있는 것이 바람직하다. 이 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 배관의 선팽창율 αP〔단위 : 10-6/K〕은 (α1-3)≤αP≤(α1+3)을 만족하는 것이 바람직하다. 모재의 선팽창율 α1과 히터를 구성하는 재료나 배관의 선팽창율 αH, αP이 이들의 관계를 만족함으로써, 세라믹스층에 손상이 발생하는 것을 효과적으로 방지할 수 있다. 그리고, 일반적으로, 선팽창율 α은, 물체의 길이를 L, 0˚C에 있어서의 물체의 길이를 LO, θ을 온도로 했을 때, α=(dL/dθ)/LO로 나타낼 수 있고, 단위는 K-1(1/K)이지만, 본 명세서에서는, 10-6/K를 단위로 하여 선팽창율을 표현하고 있다. 다음에, 선팽창율을 설명할 때, 단위를 생략하고 설명하는 경우도 있다.
또, 본 발명의 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부를, 기체처리장치의 측벽 및/ 또는 상판으로 할 수 있다. 그리고, 이와 같은 기체처리장치를, 본 발명의 제2의 양태에 관한 기체처리장치로 부르는 경우가 있다. 이 경우, 기체처리장치의 측벽 및/ 또는 상판에는 온도제어수단이 배설되어 있는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 이로써, 예를 들면, 기체처리장치의 측벽 및/ 또는 상판의 표면에 입사한 프리커서를 기체처리장치의 측벽 및/ 또는 상판으로부터 이탈시키도록 하는 온도까지, 기체처리장치의 측벽 및/ 또는 상판을 가열하는 것이 가능하게 된다. 그리고, 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 모재의 선팽창율 α1과 히터를 구성하는 재료의 선팽창율 αH이 이 관계를 만족함으로써, 세라믹스층에 손상이 발생하는 것을 효과적으로 방지할 수 있다.
또, 본 발명의 기체처리장치에 있어서는, 기체처리장치에 있어서, 예를 들면, 기체에 대하여 플라즈마에칭처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부를, 기체처리장치내에 배설된 평행평판의 상부대향전극으로 할 수 있다. 그리고, 이와 같은 기체처리장치를, 본 발명의 제3의 양태에 관한 기체처리장치로 부르는 경우가 있다. 이 경우, 상부대향전극에는 온도제어수단이 배설되어 있는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 이로써, 예를 들면, 상부대향전극의 표면에 입사한 프리커서를 상부대향전극으로부터 이탈시키도록 하는 온도까지, 상부대향전극을 가열하는 것이 가능하게 된다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 모재의 선팽창율 α1과 히터를 구성하는 재료의 선팽창율 αH이 이 관계를 만족함으로써, 세라믹스층에 손상이 발생하는 것을 효과적으로 방지할 수 있다.
그리고, 기체처리장치에 있어서, 기체에 대하여 플라즈마에칭처리를 행하는 경우에는, 제1의 양태에 관한 기체처리장치와 제2의 양태에 관한 기체처리장치의 조합, 제1의 양태에 관한 기체처리장치와 제3의 양태에 관한 기체처리장치의 조합, 제2의 양태에 관한 기체처리장치와 제3의 양태에 관한 기체처리장치의 조합, 제1의 양태에 관한 기체처리장치와 제2의 양태에 관한 기체처리장치와 제3의 양태에 관한 기체처리장치의 조합으로 할 수도 있다. 또, 기체처리장치에 있어서, 기체에 대하여 플라즈마CVD처리를 행하는 경우에는, 제1의 양태에 관한 기체처리장치와 제2의 양태에 관한 기체처리장치의 조합으로 할 수도 있다.
상기의 목적을 달성하기 위한 본 발명의 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있는 것을 특징으로 한다.
본 발명의 기체재치스테이지에 있어서는, 기체재치스테이지를 전극으로서 사용할 수 있고, 이 때, 세라믹스층은 정전처크기능을 발휘한다. 그리고, 온도제어를 정확 또한 신속하게 행하기 위해, 온도제어수단이 배설되어 있는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 또한, 모재의 내부에 배설된 온도제어용 열매체가 흐르는 배관으로 구성되어 있는 것이 바람직하다. 그리고, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 배관의 선팽창율 αP〔단위 : 10-6/K〕은 (α1-3)≤αP≤(α1+3)을 만족하는 것이 바람직하다.
본 발명의 복합재료, 기체처리장치 또는 기체재치스테이지에 있어서는, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 세라믹스층의 선팽창율 α2〔단위 : 10-6/K〕은 (α1-3)≤α2≤(α1+3)을 만족하는 것이 바람직하다. α1 및 α2의 관계를 이와 같은 관계로 함으로써, 모재가 가열·냉각됐을 때, 모재의 선팽창율 α1과 세라믹스층의 선팽창율 α2과의 차이에 기인하여 세라믹스층에 크랙 등의 손상이 발생하는 것을 확실하게 방지할 수 있다.
이 경우, 모재를 구성하는 세라믹스부재의 조성을 코디에라이트세라믹스로 하고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al) 및 규소(Si)로 하고, 세라믹스층을 구성하는 재료를 Al2O3으로 할 수 있다. 세라믹스층을 구성하는 재료에는, 세라믹스층의 선팽창율이나 전기특성을 조정하기 위해, 예를 들면, TiO2를 첨가해도 된다. (α1-3)≤α2≤(α1+3)을 만족하도록, 코디에라이트세라믹스와 알루미늄계 재료와의 용적비를 결정하는 것이 바람직하다. 또한, 코디에라이트세라믹스/알루미늄계 재료의 용적비를 25/75 내지 75/25, 바람직하게는 25/75 내지 50/50으로 하는 것이 적합하다. 이와 같은 용적비로 함으로써, 모재의 선팽창율의 제어 뿐만 아니라, 모재는 순수한 세라믹스의 전기전도도나 열전도도보다 금속에 가까운 값을 가지게 된다. 그 결과, 이와 같은 모재에는, 전압의 인가는 물론, 바이어스의 인가도 가능하게 된다. 또한, 알루미늄계 재료를 기준으로 했을 때, 알루미늄계 재료에는, 규소가 12 내지 35체적%, 바람직하게는 16 내지 35체적%, 더욱 바람직하게는 20 내지 35체적% 포함되어 있는 것이, (α1-3)≤α2≤(α1+3)을 만족하는데다가 바람직하다. 그리고, 실제로는, 세라믹스부재의 조직중에 알루미늄(Al) 및 규소(Si)가 충전되고, 알루미늄(Al)중에 규소(Si)가 포함되어 있는 까닭은 아니지만, 알루미늄계 재료에 있어서의 알루미늄(Al)과 규소(Si)의 용적비를 나타내기 위해, 알루미늄계 재료에는 규소가 포함되어 있다고 하는 표현을 사용한다. 이하에 있어서도 동일하다.
그리고, 세라믹스부재는 코디에라이트세라믹스분말의 소성체(燒成體)(소결체(燒結體))로 할 수도 있지만, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물의 소성체(소결체)인 것이, 다공질의 세라믹스부재를 얻는데다가, 또, 모재 제작시에 세라믹스부재에 손상이 발생하는 것을 방지하므로 바람직하다. 후자의 경우, 소성체에 있어서의 코디에라이트세라믹스섬유의 비율은 1 내지 20체적%, 바람직하게는 1 내지 10체적%, 더욱 바람직하게는 1 내지 5체적%인 것이 적합하다. 또, 코디에라이트세라믹스분말의 평균입경은 1 내지 100㎛, 바람직하게는 5 내지 50㎛, 더욱 바람직하게는 5 내지 10㎛이고, 코디에라이트세라믹스섬유의 평균직경은 2 내지 10㎛, 바람직하게는 3 내지 5㎛이고, 평균길이는 0.1 내지 10mm, 바람직하게는 1 내지 2mm인 것이 바람직하다. 또한, 세라믹스부재의 공공율(空孔率)은 25 내지 75%, 바람직하게는 50 내지 75%인 것이 적합하다.
또, 모재를 구성하는 세라믹스부재의 조성을 질화알루미늄(AlN)으로 하고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al) 또는 알루미늄(Al)과 규소(Si)로 하고, 세라믹스층을 구성하는 재료를 Al2O3 또는 질화알루미늄(AlN)으로 할 수 있다. 그리고, 세라믹스층을 구성하는 재료에는, 세라믹스층의 선팽창율이나 전기특성을 조정하기 위해, 예를 들면, TiO2를 첨가해도 된다. 이 경우, (α1-3)≤α2≤(α1+3)을 만족하도록, 질화알루미늄과 알루미늄계 재료와의 용적비를 결정하는 것이 바람직하다. 또한, 질화알루미늄/알루미늄계 재료의 용적비를 40/60 내지 80/20, 바람직하게는 60/40 내지 70/30으로 하는 것이 적합하다. 이와 같은 용적비로 함으로써, 모재의 선팽창율의 제어 뿐만 아니라, 모재는 순수한 세라믹스의 전기전도도나 열전도도보다 금속에 가까운 값을 가지게 되고, 이와 같은 모재에는, 전압의 인가는 물론, 바이어스의 인가도 가능하게 된다. 그리고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소로 하는 경우, 알루미늄계 재료에는, 규소가 12 내지 35체적%, 바람직하게는 16 내지 35체적%, 더욱 바람직하게는 20 내지 35체적% 포함되어 있는 것이, (α1-3)≤α2≤(α1+3)을 만족하는데다가 바람직하다.
또, 모재를 구성하는 세라믹스부재의 조성은 탄화규소(SiC)이고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al) 또는 알루미늄(Al)과 규소(Si)로 하고, 세라믹스층을 구성하는 재료를 Al2O3 또는 질화알루미늄(AlN)으로 할 수 있다. 그리고, 세라믹스층을 구성하는 재료에는, 세라믹스층의 선팽창율이나 전기특성을 조정하기 위해, 예를 들면, TiO2를 첨가해도 된다. 이 경우, (α1-3)≤α2≤(α1+3)을 만족하도록, 탄화규소와 알루미늄계 재료와의 용적비를 결정하는 것이 바람직하다. 또한, 탄화규소/알루미늄계 재료의 용적비를 40/60 내지 80/20, 바람직하게는 60/40 내지 70/30으로 하는 것이 적합하다. 이와 같은 용적비로 함으로써, 모재의 선팽창율의 제어 뿐만 아니라, 모재는 순수한 세라믹스의 전기전도도나 열전도도보다 금속에 가까운 값을 가지게 되고, 이와 같은 모재에는, 전압의 인가는 물론, 바이어스의 인가도 가능하게 된다. 그리고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소로 하는 경우, 알루미늄계 재료에는, 규소가 12 내지 35체적%, 바람직하게는 16 내지 35체적%, 더욱 바람직하게는 20 내지 35체적% 포함되어 있는 것이, (α1-3)≤α2≤(α1+3)을 만족하는데다가 바람직하다.
본 발명의 복합재료, 기체처리장치 또는 기체재치스테이지에 있어서는, 세라믹스층은, 용사법(溶射法)으로 모재의 표면에 형성되어 있고, 또는, 납땜법으로 모재의 표면에 부착되어 있는 것이 바람직하다.
상기 목적을 달성하기 위한 본 발명의 복합재료의 제조방법은,
(A) 세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 또, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과,
(B) 이 모재의 표면에 세라믹스층을 배설하는 공정,
으로 이루어지는 것을 특징으로 한다.
또, 상기 목적을 달성하기 위한 본 발명의 복합재료의 제조방법은, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료의 제조방법으로서,
(A) 세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 또, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과,
(B) 이 모재의 표면에 세라믹스층을 배설하는 공정,
으로 이루어지는 것을 특징으로 한다. 그리고, 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지인 양태, 또는 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 기체처리장치의 측벽 및/ 또는 상판인 양태, 또한, 기체처리장치에 있어서는, 예를 들면, 기체에 대하여 플라즈마에칭처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 평행평판의 상부대향전극인 양태를 들 수 있다.
상기의 목적을 달성하기 위한 본 발명의 기체처리장치의 제작방법은, 기체를 처리하기 위한 기체처리장치의 제작방법으로서,
이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
이 복합재료를,
(A) 세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 또, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과,
(B) 이 모재의 표면에 세라믹스층을 배설하는 공정,
에 따라서 제작하는 것을 특징으로 한다.
본 발명의 기체처리장치의 제작방법에 있어서는, 기체처리장치에 있어서, 예를 들면, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지인 양태로 할 수 있다. 그리고, 이와 같은 기본처리장치의 제작방법을, 본 발명의 제1의 양태에 관한 기체처리장치의 제작방법으로 부르는 경우가 있다. 이 경우, 기체재치스테이지를 전극으로서 사용할 수 있어, 세라믹스층은 정전처크기능을 발휘한다.
본 발명의 제1의 양태에 관한 기체처리장치의 제작방법에 있어서는, 기체재치스테이지에 온도제어수단을 배설하는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 또한, 온도제어수단은 모재의 내부에 배설된 온도제어용 열매체가 흐르는 배관으로 구성되어 있는 것이 바람직하다. 이 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 배관의 선팽창율 αP〔단위 : 10-6/K〕은 (α1-3)≤αP≤(α1+3)을 만족하는 것이 바람직하다
또, 본 발명의 기체처리장치의 제작방법에 있어서는, 예를 들면, 기체에 대하여, 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부를, 기체처리장치의 측벽 및/ 또는 상판으로 할 수 있다. 그리고, 이와 같은 기체처리장치의 제작방법을, 본 발명의 제2의 양태에 관한 기체처리장치의 제작방법으로 부르는 경우가 있다. 이 경우, 기체처리장치의 측벽 및/ 또는 상판에 온도제어수단을 배설하는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다.
또, 본 발명의 기체처리장치의 제작방법에 있어서는, 기체처리장치에 있어서, 예를 들면, 기체에 대하여 플라즈마에칭처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부를, 기체처리장치내에 배설된 평행평판의 상부대향전극으로 할 수 있다. 그리고, 이와 같은 기체처리장치의 제작방법을, 본 발명의 제3의 양태에 관한 기체처리장치의 제작방법으로 불리는 경우가 있다. 이 경우, 상부대향전극에 온도제어수단을 배설하는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다.
기체처리장치에 있어서, 기체에 대하여 플라즈마에칭처리를 행하는 경우에는, 제1의 양태에 관한 기체처리장치의 제작방법과 제2의 양태에 관한 기체처리장치의 제작방법의 조합, 제1의 양태에 관한 기체처리장치의 제작방법과 제3의 양태에 관한 기체처리장치의 제작방법의 조합, 제2의 양태에 관한 기체처리장치의 제작방법과 제3의 양태에 관한 기체처리장치의 제작방법의 조합, 제1의 양태에 관한 기체처리장치의 제작방법과 제2의 양태에 관한 기체처리장치의 제작방법과 제3의 양태에 관한 기체처리장치의 제작방법의 조합으로 할 수도 있다. 또, 기체처리장치에 있어서, 기체에 대하여 플라즈마CVD처리를 행하는 경우에는, 제1의 양태에 관한 기체처리장치의 제작방법과 제2의 양태에 관한 기체처리장치의 제작방법의 조합으로 할 수도 있다.
상기의 목적을 달성하기 위한 본 발명의 기체재치스테이지의 제작방법은, 정전처크기능을 가지고, 또한 온도제어수단을 구비한 기체재치스테이지의 제작방법으로서,
기체재치스테이지는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
이 복합재료를,
(A) 세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 또, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과,
(B) 이 모재의 표면에 세라믹스층을 배설하는 공정,
에 따라서 제작하는 것을 특징으로 한다.
본 발명의 기체재치스테이지의 제작방법에 있어서는, 기체재치스테이지를 전극으로서 사용할 수 있고, 세라믹스층은 정전처크기능을 발휘한다. 그리고, 온도제어수단이 배설되어 있는 것이 바람직하고, 또한, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 되고, 후자의 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 또한, 온도제어수단은, 모재의 내부에 배설된 온도제어용 열매체가 흐르는 배관으로 구성되어 있는 것이 바람직하다. 이 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 배관의 선팽창율 αP〔단위 : 10-6/K〕은 (α1-3)≤αP≤(α1+3)을 만족하는 것이 바람직하다
본 발명의 복합재료의 제조방법, 기체처리장치의 제작방법, 기체재치스테이지의 제작방법에 있어서는, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 세라믹스층의 선팽창율 α2〔단위 : 10-6/K〕은 (α1-3)≤α2≤(α1+3)을 만족하는 것이 바람직하다. α1 및 α2의 관계를 이와 같이 함으로써, 모재가 가열·냉각됐을 때, 모재의 선팽창율 α1과 세라믹스층의 선팽창율 α2과의 차이에 기인하여 세라믹스층에 크랙 등의 손상이 발생하는 것을 확실하게 방지할 수 있다.
본 발명의 복합재료의 제조방법, 기체처리장치의 제작방법, 기체재치스테이지의 제작방법에 있어서는, 공정 (A)는 용기의 안에 다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄과 규소와를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재안에 알루미늄계 재료를 충전하는 공정으로 이루어지는 것이 바람직하다. 이 경우, 세라믹스부재는, 예를 들면, 금형프레스성형법, 정(靜)수압성형법(CPI법 또는 러버프레스성형법으로도 불림), 주입(鑄入)성형법(슬립캐스팅법으로도 불림), 또는 니장(泥漿)주입성형법에 의해 코디에라이트세라믹스를 성형한 후, 소성을 행함으로써 얻을 수 있다.
이 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 세라믹스층의 선팽창율 α2〔단위 : 10-6/K〕가 (α1-3)≤α2≤(α1+3) 을 만족하도록, 코디에라이트세라믹스와 알루미늄계 재료와의 용적비를 결정하는 것이 바람직하다. 또한, 코디에라이트세라믹스/알루미늄계 재료의 용적비는 25/75 내지 75/25, 바람직하게는 25/75 내지 50/50인 것이 바람직하다. 그리고, 알루미늄계 재료를 기준으로 하여, 알루미늄계 재료에는 규소가 12 내지 35체적%, 바람직하게는 16 내지 35체적%, 더욱 바람직하게는 20 내지 35 체적% 포함되어 있는 것이, (α1-3)≤α2≤(α1+3)을 만족하는데다가 바람직하다.
그리고, 세라믹스부재를 코디에라이트세라믹스분말을 성형한 후, 소성함으로써 제작할 수 있지만, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물을 소성함으로써 제작하는 것이, 다공질의 세라믹스부재를 얻는데다가, 또, 모재 제작시에 세라믹스부재에 손상이 발생하는 것을 방지하므로 바람직하다. 후자의 경우, 소성체에 있어서의 코디에라이트세라믹스섬유의 비율은 1 내지 20체적%, 바람직하게는 1 내지 10체적%, 더욱 바람직하게는 1 내지 5체적%인 것이 적합하다. 또, 코디에라이트세라믹스분말의 평균입경은 1 내지 100㎛이고, 바람직하게는 5 내지 50㎛, 더욱 바람직하게는 5 내지 10㎛이고, 코디에라이트세라믹스섬유의 평균직경은 2 내지 10㎛, 바람직하게는 3 내지 5㎛이고, 평균길이는 0.1 내지 10mm, 바람직하게는 1 내지 2mm인 것이 바람직하다. 또한, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물을 800 내지 1200˚C, 바람직하게는 800 내지 1100˚C로 소성하는 것이 바람직하다. 또, 세라믹스부재의 공공율은 25 내지 75%, 바람직하게는 50 내지 75%인 것이 적합하다.
또, 용기내에 용융한 알루미늄계 재료를 유입할 때의 세라믹스부재의 온도를 500 내지 1000˚C, 바람직하게는 700 내지 800˚C로 하고, 용기내에 용융한 알루미늄계 재료를 유입할 때의 알루미늄계 재료의 온도를 700 내지 1000˚C, 바람직하게는 750 내지 900˚C로 하고, 고압주조법으로 세라믹스부재안에 알루미늄계 재료를 충전할 때에 가하는 절대압을 200 내지 1500kgf/㎠, 바람직하게는 800 내지 1000kgf/㎠로 하는 것이 적합하다.
또한, 공정 (A)는, 비가압금속침투법에 따라서, 질화알루미늄입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소와를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시키는 공정으로 이루어지는 것이 바람직하다. 그리고, 세라믹스부재는, 예를 들면, 금형프레스성형법, 정수압성형법, 주입성형법, 또는 니장(泥漿)주입성형법에 의해 성형한 후, 500 내지 1000˚C, 바람직하게는 800 내지 1000˚C의 온도로 소성을 행함으로써 얻을 수 있다. 이 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 세라믹스층의 선팽창율 α2〔단위 : 10-6/K〕가 (α1-3)≤α2≤(α1+3)을 만족하도록, 질화알루미늄입자와 알루미늄계 재료와의 용적비를 결정하는 것이 바람직하다. 또는, 질화알루미늄입자/알루미늄계 재료의 용적비는 40/60 내지 80/20, 바람직하게는 60/40 내지 70/30인 것이 적합하다. 그리고, 질화알루미늄입자의 평균입경은 1 내지 100㎛, 바람직하게는 10 내지 50㎛, 더욱 바람직하게는 10 내지 20㎛인 것이 적합하다. 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소로 하는 경우, 알루미늄계 재료에는 규소가 12 내지 35체적%, 바람직하게는 16 내지 35체적%, 더욱 바람직하게는 20 내지 35체적% 포함되어 있는 것이, (α1-3)≤α2≤(α1+3)을 만족하는데다가 바람직하다.
또, 공정 (A)는 비가압금속침투법에 따라서, 탄화규소입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소와를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시키는 공정으로 이루어지는 것이 바람직하다. 또는, 공정 (A)는 용기의 안에 탄화규소를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄 또는 알루미늄과 규소와를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재안에 알루미늄계 재료를 충전하는 공정으로 이루어지는 것이 바람직하고, 이 경우, 용기내에 용융한 알루미늄계 재료를 유입할 때의 세라믹스부재의 온도를 500 내지 1000˚C로 하고, 고압주조법으로 세라믹스부재안에 알루미늄계 재료를 충전할 때에 가하는 절대압을 200 내지 1500kgf/㎠로 하는 것이 바람직하다. 세라믹스부재는, 예를 들면, 금형프레스성형법, 정수압성형법, 주입성형법, 또는 니장주입성형법에 의해 성형한 후, 500 내지 1000˚C, 바람직하게는 800 내지 1000˚C의 온도로 소성을 행함으로써 얻을 수 있다. 이 경우, 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 세라믹스층의 선팽창율 α2〔단위 : 10-6/K〕가 (α1-3)≤α2≤(α1+3)을 만족하도록, 탄화규소입자와 알루미늄계 재료와의 용적비를 결정하는 것이 바람직하다. 또는, 탄화규소입자/알루미늄계 재료의 용적비는 40/60 내지 80/20, 바람직하게는 60/40 내지 70/30인 것이 적합하다. 그리고, 탄화규소입자의 평균입경은 1 내지 100㎛, 바람직하게는 10 내지 80㎛, 더욱 바람직하게는 15 내지 60㎛인 것이 적합하다. 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소로 하는 경우, 알루미늄계 재료에는 규소가 12 내지 35체적%, 바람직하게는 16 내지 35체적%, 더욱 바람직하게는 20 내지 35체적% 포함되어 있는 것이, (α1-3)≤α2≤(α1+3)을 만족하는데다가 바람직하다.
본 발명의 복합재료의 제조방법, 기체처리장치의 제작방법, 기체재치스테이지의 제작방법에 있어서는, 세라믹스층을 구성하는 재료를 Al2O3 또는 질화알루미늄(AlN)으로 할 수 있다. 그리고, 세라믹스층을 구성하는 재료에는, 세라믹스층의 선팽창율이나 전기특성을 조종하기 위해, 예를 들면, TiO2를 첨가해도 된다. 그리고, 공정 (B)는, 세라믹스층을 용사법으로 모재의 표면에 형성하는 공정으로 이루어지는 것이 바람직하다. 또, 공정 (B)는, 세라믹스층을 납땜법으로 모재의 표면에 부착하는 공정으로 이루어지는 것이 바람직하다
상기의 목적을 달성하기 위한 본 발명의 제1의 양태에 관한 기체처리방법은, 기체를 처리하기 위한 기체처리장치를 사용한 기체처리방법으로서, 이 기체처리장치는 기체재치스테이지를 구비하고, 이 기체재치스테이지는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 제작되고, 그리고, 정전처크기능을 가지고, 또한, 온도제어수단을 구비하고 있으며, 정전처크기능에 의해 이 기체재치스테이지상에 기체를 고정하고, 기체재치스테이지의 온도를 온도제어수단에 의해 제어한 상태에서, 기체에 대하여 처리를 행하는 것을 특징으로 한다. 그리고, 이러한 본 발명의 기체처리방법을 제1의 양태에 관한 기체처리방법으로 부르는 경우가 있다. 이 경우, 기체에 대한 처리를 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리로 할 수 있다. 그리고, 스퍼터처리에는 기체의 소프트에칭처리를 포함할 수 있다. 기체에 대하여 처리를 행할 때의 기체재치스테이지의 온도는, 플라즈마에칭처리의 경우, 상온 내지 650˚C, 바람직하게는 100 내지 400˚C, 더욱 바람직하게는 100 내지 300˚C, 플라즈마CVD처리의 경우, 상온 내지 650˚C, 바람직하게는 100 내지 500˚C, 더욱 바람직하게는 200 내지 500˚C, 스퍼터처리의 경우, 상온 내지 650˚C, 바람직하게는 200 내지 600˚C, 더욱 바람직하게는 300 내지 500˚C에 제어되어 있는 것이 바람직하다. 그리고, 기체재치스테이지에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 히터를 복합재료의 외부에 배설해도 되고, 모재의 내부에 배설해도 된다. 온조제어수단은, 또한, 온도제어용 열매체가 흐르는 배관으로 구성되어 있는 것이 바람직하다. 여기서, 이러한 기체처리장치로서는, 구체적으로는, 전술한 본 발명의 제1의 양태에 관한 기체처리장치를 사용하면 된다.
또한, 상기 목적을 달성하기 위한 본 발명의 제2의 양태에 관한 기체처리방법은, 측벽 및/ 또는 상판이, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 제작된, 기체를 처리하기 위한 기체처리장치를 사용한 기체처리방법으로서, 이 기체처리장치내에 기체를 수납하고, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리를 행하는 것을 특징으로 한다. 그리고, 이러한 본 발명의 기체처리방법을 제2의 양태에 관한 기체처리방법으로 부르는 경우가 있다. 여기서, 이러한 기체처리장치로서는, 구체적으로, 전술한 본 발명의 제2의 양태에 관한 기체처리장치를 사용하면 된다. 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리를 행할 때의 측벽 및/ 또는 상판의 온도는, 플라즈마에칭처리의 경우, 상온 내지 650˚C, 바람직하게는 100 내지 400˚C, 더욱 바람직하게는 100 내지 300˚C, 플라즈마CVD처리의 경우, 상온 내지 650˚C, 바람직하게는 100 내지 500˚C, 더욱 바람직하게는 200 내지 500˚C에 제어되어 있는 것이 바람직하다. 측벽 및/ 또는 상판에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 또한, 히터를 복합재료의 외부에 배설해도 되지만, 모재의 내부에 배설하는 것이 바람직하다.
또한, 상기의 목적을 달성하기 위한 본 발명의 제3의 양태에 관한 기체처리방법은, 기체를 처리하기 위한 기체처리장치를 사용한 기체처리방법으로서, 이 기체처리장치는, 하부전극을 겸한 기체재치스테이지, 및 상부대향전극을 구비하고, 상부대향전극은, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 제작되고, 이 기체재치스테이지상에 기체를 재치한 상태에서, 기체에 대하여 플라즈마에칭처리를 행하는 것을 특징으로 한다. 그리고, 이러한 본 발명의 기체처리방법을 제3의 양태에 관한 기체처리방법으로 부르는 경우가 있다. 여기서, 이러한 기체처리장치로서는, 구체적으로, 전술한 본 발명의 제3의 양태에 관한 기체처리장치를 사용하면 된다. 기체에 대하여 플라즈마에칭처리를 행할 때의 상부대향전극의 온도는, 상온 내지 400˚C, 바람직하게는 50 내지 400˚C, 더욱 바람직하게는 200 내지 350˚C에 제어되어 있는 것이 바람직하다. 상부대향전극에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것이 바람직하다. 또한, 히터를 복합재료의 외부에 배설해도 되지만, 모재의 내부에 배설하는 것이 바람직하다.
그리고, 기체에 대하여 플라즈마에칭처리를 행하는 경우에는, 제1의 양태에 관한 기체처리방법과 제2의 양태에 관한 기체처리방법의 조합, 제1의 양태에 관한 기체처리방법과 제3의 양태에 관한 기체처리방법의 조합, 제2의 양태에 관한 기체처리방법과 제3의 양태에 관한 기체처리방법의 조합, 제1의 양태에 관한 기체처리방법과 제2의 양태에 관한 기체처리방법과 제3의 양태에 관한 기체처리방법의 조합으로 할 수도 있다. 또, 기체에 대하여 플라즈마CVD처리를 행하는 경우에는, 제1의 양태에 관한 기체처리방법과 제2의 양태에 관한 기체처리방법의 조합으로 할 수도 있다.
본 발명에 있어서의 기체로서, 실리콘반도체기판, GaAs기판 등의 화합물반도체 또는 반절연성 기판, SOI구조를 가지는 반도체기판, 절연성 기판, 반도체기판이나 반절연성 기판이나 절연성 기판의 위에 형성된 각종의 절연층이나 절연막, 도전성 박막이나 금속박막, 금속화합물박막, 이들의 적층체를 예시할 수 있다. 절연층이나 절연막으로서는, SiO2, BPSG, PSG, BSG, AsSG, PbSG, SbSG, NSG, SOG, LTO(Low Temperature Oxide, 저온CVD-SiO2), SiN, SiON 등의 공지의 재료, 또는 이들의 재료를 적층한 것을 예시할 수 있다. 도전성 박막으로서는, 예를 들면, 불순물이 도핑된 다결정실리콘을 예시할 수 있다. 또, 금속박막이나 금속화합물박막으로서는, Cu, Ti, TiN, BST(바리움·스트론티움·티탄·옥사이드), STO(스트론티움·티탄·옥사이드), SBT(스트론티움·바리움·탄탈·옥사이드), Pt, Al, 예를 들면 동이나 규소를 함유하는 알루미늄합금, 텅스텐 등의 고융점금속, 각종 실리사이드를 예시할 수 있다. 또한, 예를 들면 폴리이미드필름 등의 플라스틱필름상에 성막 또는 적층된 동 등, 반도체장치의 제조분야이외의 분야에 있어서의 재료에도 본 발명을 적용할 수 있다.
본 발명에 있어서는, 복합재료를, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 구성됨으로써, 모재는 세라믹스부재와 알루미늄계 재료와의 중간적인 성질을 가지는 것으로 되고, 예를 들면 열팽창율에 관해서도 이들의 중간적인 값으로 조정하는 것이 가능하게 된다. 그러므로, 모재와 세라믹스층과의 열팽창에 기인한 세라믹스층의 손상발생을 회피할 수 있어, 복합재료를 고온에서 확실하게 사용하는 것이 가능하게 된다. 나아가, 모재는 높은 열전도율을 가지로 있으므로, 기체를 효율 좋게 가열하는 것이 가능하다. 또, 세라믹스층이 배설되어 있으므로, 금속오염의 발생 방지나, 예를 들면 할로겐가스에 의한 복합재료의 부식발생을 방지할 수 있다. 그리고, (α1-3)≤α2≤(α1+3)의 관계를 만족함으로써, 예를 들면 500˚C 정도의 고온으로 사용해도, 모재의 선팽창율 α1과 세라믹스층의 선팽창율 α2의 차이에 기인한 세라믹스층의 손상발생을 거의 확실하게 방지하는 것이 가능하다.
다음에, 도면을 참조하여, 발명의 실시의 형태(이하, 실시의 형태로 약칭함)에 따라서 본 발명을 설명한다.
(실시의 형태 1)
실시의 형태 1은, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1의 양태에 관한 기체처리장치 및 그 제작방법, 기체재치스테이지 및 그 제작방법, 및 본 발명의 제1의 양태에 관한 기체처리방법에 관한 것이다.
즉, 실시의 형태 1에 있어서의 모재는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어진다. 또한, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어진다. 또, 실시의 형태 1의 기체를 처리하기 위한 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있다. 여기서, 실시의 형태 1의 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리가 행해지고, 복합재료에 의해 구성되는 기체처리장치의 일부는, 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지(보다 구체적으로는 웨이퍼스테이지)이다.
복합재료에 의해 구성되는 기체처리장치의 일부인 실시의 형태 1에 있어서의 기체재치스테이지10의 모식적인 단면도를, 도 1의 (A)에 나타냈다. 이 기체재치스테이지10는 복합재료11로 구성되어 있다. 복합재료11는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재12(온도조절재킷에 상당함)와, 이 모재12의 표면에 배설된 세라믹스층13과로 이루어진다. 모재12의 형상은 원반(原盤)이다.
실시의 형태 1에 있어서는, 모재12를 구성하는 세라믹스부재의 조성을 코디에라이트세라믹스로 했다. 여기서, 코디에라이트세라믹스라고 하는 것은 MgO가 약 13중량%, SiO2가 약 52중량%, Al2O3가 약 35중량%로 되는 조성비로 조정된 세라믹스이다. 코디에라이트세라믹스의 선팽창율은 0.1×10-6/K이다.
또, 모재11를 구성하는 알루미늄계 재료의 조성은 알루미늄(Al) 및 규소 (Si)이다. 실시의 형태 1에 있어서, 알루미늄계 재료를 기준으로 하여, 알루미늄계 재료에는 규소가 20체적% 포함되어 있다. 그리고, 세라믹스부재는, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물의 소성체이고, 이 소성체에 있어서의 코디에라이트세라믹스섬유의 비율을 5체적%로 했다. 여기서, 코디에라이트세라믹스분말의 평균입경은 10㎛이고, 코디에라이트세라믹스섬유의 평균직경은 3㎛이고, 평균길이는 1mm이다. 세라믹스부재의 공공율은 약 50%이고, 공공율은 약 1 내지 2㎛이다. 따라서, 코디에라이트세라믹스/알루미늄계 재료의 용적비는 약 1/1이다. 이와 같은 구성의 모재12의 선팽창율은, 100∼300˚C에 있어서의 평균치로, 약 10.6×10-6/K이다. 즉, α1=10.6이다. 코디에라이트세라믹스/ 알루미늄계 재료의 용적비가 약 1/1이므로, 모재12는 순수한 세라믹스의 전기전도도나 열전도도보다 금속에 가까운 값을 가진다. 따라서, 이와 같은 모재12로 제작된 기체재치스테이지10에는, 전압의 인가는 물론이고, 바이어스의 인가도 가능하다.
세라믹스층13을 구성하는 재료를, TiO2가 약 2.5중량% 첨가된 Al2O3로 했다. 두께 약 0.2mm의 세라믹스층13은, 용사법으로 모재12의 표면에 형성되어 있다. 이와 같은 조성의 세라믹스층13의 선팽창율은 100∼300˚C에 있어서의 평균치로, 약 9×10-6/K이다. 따라서, α2는 약 9이고, 세라믹스층13의 선팽창율 α2는 (α1-3)≤α2≤(α1+3)을 만족하고 있다. 그리고, Al2O3 그 자체의 선팽창율은 약 8×10-6/K이다. 또, Al2O3에 TiO2를 약 2.3 중량% 첨가함으로써, 세라믹스층13의 체적고유저항치를 1011Ω/□오더로 조정할 수 있다. 이로써, 세라믹층13은 유전체로서 작용하여, 정전처크로서의 기능을 발휘할 수 있다. 이와 같이 체적고유저항치를 조정하는 이유는, 세라믹스층(13)이 1011Ω/□오더를 넘으면, 정전처크로서 사용한 경우에 세라믹스층13의 흡착력이 너무 약해져, 기체를 세라믹스층13에 충분히 흡착시키는 것이 곤란해 질 우려가 있기 때문이다. 한편, 세라믹스층13이 1011Ω/□오더를 하회하면, 기체재치스테이지10를 고온에서 사용했을 때, 세라믹스층13의 저항치가 또한 낮아져, 기체와 세라믹스층13과의 경계면에서 전류가 생길 우려가 있다. 그리고, 사용조건에 따르지만, 일반적으로는, 세라믹스층의 체적고유저항치를 1011∼1016Ω/□로 하는 것이 바람직하다.
이 기체재치스테이지10는 정전처크기능을 가지고, 또한, 온도제어수단을 구비하고 있다. 구체적으로는, 유전체층인 세라믹스층13은 정전처크기능을 가진다. 또, 모재12의 내부에는 온도제어수단이 배설되고(매입(埋入)되고), 이 온도제어수단은, 히터14, 및 온도제어용 열매체가 흐르는 배관15으로 구성되어 있다.
히터14로서, 모재12의 면적(저면적)에 따른 대형이고 대용량의 시즈히터를 사용했다. 히터14는 히터본체(도시하지 않음)와, 히터본체의 외측에 배설되고 그리고 히터본체를 보호하는 초관(도시하지 않음)으로 구성된 공지의 히터이다. 히터14는 도시하지 않은 배선을 통해 전원에 접속되어 있다. 히터14의 열팽창은 기체재치스테이지10에 영향을 준다. 따라서, 모재12나 세라믹스층13의 선팽창율 α1, α2에 가까운 값을 가지는 재료를 사용하는 것이 바람직하다. 구체적으로는, 티탄이나 스테인레스스틸 등, 선팽창율이 9×10-6/K∼12×10-6/K의 재료로 제작된 초관을 사용하는 것이 바람직하다. 즉, 히터14를 구성하는 재료(모재12와 접하는 초관의 재료)의 선팽창율 αH〔단위 : 10-6/K〕는, (α1-3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 그리고, 히터14의 본체의 선팽창율은 기체재치스테이지10에 영향을 주는 일이 없으므로, 특히 제한되지 않는다.
배관15은 온도제어용 열매체공급장치(도 1에는 도시하지 않음)에 접속되어 있고, 금속 또는 합금으로 제작되어 있다. 온도제어용 열매체공급장치로부터 공급된 온도제어용 열매체를 기체재치스테이지10내의 배관15에 흐르게 함으로써, 기체재치스테이지10의 온도제어를 행할 수 있다. 배관15의 열팽창도, 기체재치스테이지10에 영향을 준다. 따라서, 모재12나 세라믹스층13의 선팽창율 α1, α2에 가까운 값을 가지는 재료를 사용하는 것이 바람직하다. 구체적으로는, 티탄이나 스테인레스스틸 등, 선팽창율이 9×10-6/K∼12×10-6/K의 재료로 제작된 배관15을 사용하는 것이 바람직하다. 즉, 배관15을 구성하는 재료의 선팽창율 αP〔단위 : 10-6/K〕은, (α1-3)≤αP≤(α1+3)을 만족하는 것이 바람직하다.
이와 같은 구성의 기체재치스테이지10(보다 구체적으로는 모재12에는, 배선(도시하지 않음)을 통해 직류전압이 인가된다. 따라서, 기체재치스테이지10를 전극으로서 사용함으로써, 세라믹스층13이 정전처크로서 기능한다. 그리고, 이 기체재치스테이지10에는, 세라믹스층13상에 재치, 유지된 기체(예를 들면 실리콘반도체기판)를 밀어 올리기 위한 푸셔핀(도시하지 않음)이 매설(埋設)되어 있다. 또 이 푸셔핀에는 푸셔핀을 세라믹스층13의 정상면상에 돌출시키거나 또는 정상면하에 매설시키는 기구(도시하지 않음)가 부착되어 있다.
복합재료11에 의해 구성된 기체처리장치의 일부인 실시의 형태 1에 있어서의 기체재치스테이지10의 제작방법을, 다음에 설명한다. 복합재료11는, (A) 세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 또, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과, (B) 모재의 표면에 세라믹스층을 배설하는 공정으로 제작된다. 실시의 형태 1에 있어서는, 이 공정 (A)는, 용기(주형)의 안에 다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재를 배치하고, 용기(주형)내에 용융한 알루미늄과 규소와를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재안에 알루미늄계 재료를 충전하는 공정으로 이루어진다.
다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재는, 세라믹스부재를 제작할 때의 소결과정에 있어서 다공질화된다. 실시의 형태 1에 있어서는, 다공질의 코디에라이트세라믹스로서, 코디에라이트세라믹스분체와 코디에라이트세라믹스섬유와를 소결하여 얻어지는 소결체인 다공질의 코디에라이트세라믹스·화이버보드(이하, 화이버보드로 약칭함)를 사용했다. 일반적인 분체(粉體)소결세라믹스가 약 1200˚C에서 고온소결되는 것에 대하여, 화이버보드는 약 800˚C에서 저온소결된 것이고, 코디에라이트세라믹스섬유의 주위에 코디에라이트세라믹스분체가 바인더를 통해 밀착하도록 소결되어, 다공질화되어 있다. 따라서, 예를 들면, 코디에라이트세라믹스분체와 코디에라이트세라믹스섬유와의 용적비를 변경함으로써, 얻어지는 다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재의 공공율이나 공공경을 조정하는 것이 가능하다.
기체재치스테이지10를 제작하는데는, 먼저, 소정의 원반형상으로 성형된 제1의 화이버보드를 준비한다. 그리고, 제1의 화이버보드에는, 히터14를 배설하기 위한 홈을 가공해 둔다. 또, 제1의 화이버보드와는 별도의 제2의 화이버보드를 준비한다. 이 제2의 화이버보드에는, 배관15을 배설하기 위한 홈을 가공해 둔다. 그리고, 용기(주형)의 저부에 제1의 화이버보드를 배치하고, 또한, 제1의 화이버보드에 배설된 홈내에 히터14를 배치한다. 다음에, 제1의 화이버보드상에 제2의 화이버보드를 얹고, 제2의 화이버보드에 배설된 홈내에 배관15을 배치한다. 그리고, 또한, 이 제2의 화이버보드상에 제3의 화이버보드를 얹는다. 그리고, 이들의 화이버보드에는, 푸셔핀 등을 매설하기 위한 구멍을 미리 가공해 둔다.
이어서, 이들의 화이버보드로 이루어지는 세라믹스부재를 약 800˚C로 예비가열해 두고, 계속해서, 용기(주형)내에 약 800˚C로 가열하여 용융상태로 된 알루미늄계 재료(Al80체적%-Si20체적%)를 유입한다. 그리고, 용기(주형)내에 약 1톤/㎠의 고압을 가하는 고압주조법을 실행한다. 그 결과, 다공질의 화이버보드에는, 즉, 세라믹스부재의 조직중에는, 알루미늄계 재료가 충전된다. 그리고, 알루미늄계 재료를 냉각·고화시킴으로써, 모재12가 제작된다.
이어서, 모재12의 정상면, 즉, 히터측의 면을 연마한다. 그 후, 이 연마면에, Al2O3에 TiO2를 약 2.5중량% 혼합한 입경이 약 10㎛의 혼합분말을 진공용사법에 의해 용융상태에서 뿜어 부착시켜, 고화시킨다. 이로써, 체적고유저항치가 1011Ω/□오더의 두께 약 0.2mm의 세라믹스층(13)을 용사법으로 형성할 수 있다. 그리고, 세라믹스층(13)의 형성전에, 용사(溶射)베이스층으로서 예를 들면 알루미늄을 약 5중량% 포함한 닉켈(Ni-5중량%Al)을 용사하여 두고, 이 용사지하층상에 세라믹스층(13)을 용사법으로 형성해도 된다.
이와 같이 해서 얻어진 기체재치스테이지10의 세라믹스층13의 균열방지효과를 확인하기 위해, 온풍순환식의 오븐을 사용하여, 다음과 같이 하여 기체재치스테이지10의 열사이클테스트를 행하였다.
(1) 기체재치스테이지10를 오븐내에 넣고, 오븐내를 30분간에 걸쳐 300˚C로 승온(昇溫)한다.
(2) 오븐내를, 300˚C의 온도에서 20분간 유지한다.
(3) 오븐내를, 40분간에 걸쳐 강온(降溫)하여 상온으로 되돌아가게 한다.
(4) 오븐내로부터 기체재치스테이지10를 꺼내, 외관을 관찰한다.
이와 같은 (1)∼(4)의 조작을 10회 반복한 바, 10회 종료 후에 있어서도 기체재치스테이지10의 외관에는 변화가 인정되지 않고, 세라믹스층13에 균열 등의 파손은 생기지 않고 있는 것이 확인되었다.
이와 같이 해서 얻어진 기체재치스테이지10는, 다공질의 코디에라이트세라믹스·화이버보드로 이루어지는 세라믹스부재에 Al80체적%-Si20체적%의 알루미늄계 재료를 충전하여 얻어진 모재(온도조절재킷)12에 의해 구성되어 있고, 모재12의 선팽창율 α1은 세라믹스층13의 선팽창율 α2에 가까운 값으로 되어 있다. 따라서, 기체재치스테이지10의 가열·냉각에 의한 모재12와 세라믹스층13의 신축의 정도는 거의 동일하다. 그러므로, 이들 재료간의 선팽창율 α1, α2의 차이에 기인하여, 고온가열시나, 고온으로부터 상온으로 기체재치스테이지10를 되돌아가게 했을 때에 세라믹스층13에 균열 등의 손상이 발생하는 것을 확실하게 회피할 수 있다.
또, 실시의 형태 1의 복합재료의 제조방법, 기체처리장치의 제작방법, 및 기체재치스테이지의 제작방법에 있어서는, 특히, 다공질의 코디에라이트세라믹스·화이버보드를 사용하고 있지만, 고압주조시에 알루미늄계 재료가 공공(空孔)내로 파고 들어갈 때의 충격에 화이버보드는 견딜 수 있다. 그 결과, 화이버보드에 균열이 생기는 것을 억제할 수 있다. 즉, 통상의 분말소결법에 의해 얻어지는 다공질의 코디에라이트세라믹스로 이루어지는 세라믹스부재에 있어서는, 고압주조시에 균열이 일어나기 쉽다. 그런데, 다공질의 코디에라이트세라믹스·화이버보드를 사용함으로써, 고압주조시에 있어서의 세라믹스부재의 균열발생을 억제할 수 있다.
그리고, 고압주조시에 화이버보드에 균열 등이 발생하는 것을 회피할 수 있으므로, 모재12의 표면에 배설된 세라믹스층13에 크랙 등의 손상이 생기는 것을 한층 확실하게 방지할 수 있다. 즉, 화이버보드에 균열이 발생했다고 해도, 화이버보드로 이루어지는 세라믹스부재의 조직중에 알루미늄계 재료를 충전했을 때, 알루미늄계 재료가 일종의 접착제로서 작용하는 결과, 모재12를 얻을 수 있다. 그러나, 이와 같이 해서 얻어진 모재12에 있어서는, 화이버보드에 발생한 균열 등의 간극에 알루미늄계 재료로 이루어지는 층이 형성되어 버린다. 그 결과, 모재12의 표면에 배설된 세라믹스층13이 , 기체재치스테이지10의 사용시, 온도변화에 추종할 수 없게 되어, 세라믹스층13에 균열이 생기기 쉽게 된다. 즉, 세라믹스층13은, 입경이 약 10㎛의 혼합분말이 용사되고 그리고 모재12와 동화되어 있으므로, 화이버보드에 있어서의 1∼2㎛의 공공내에 충전된 알루미늄계 재료 그것의 열팽창으로부터는 거의 영향을 받지 않는다. 그러나, 화이버보드의 균열된 부분의 간극에 존재하는 알루미늄계 재료로 이루어지는 층은, 세라믹스층13을 형성하는 입자의 직경보다 큰 길이나 폭을 가진다. 따라서, 알루미늄계 재료로 이루어지는 이러한 층의 열팽창에 의한 세라믹스층13에의 영향은 무시할 수 없는 것으로 되어, 세라믹스층13에 균열이 발생할 확률이 높아진다.
또, 세라믹스층13을 모재12상에 용사법으로 형성하므로, 모재12와 세라믹스층13이 보다 한층 일체화된다. 이로써, 모재12와 세라믹스층13과의 사이의 응력완화가 도모되는 동시에, 모재12로부터 세라믹스층14에의 열전도가 빨라져, 세라믹스층13에 유지·고정된 기체(예를 들면 실리콘반도체기판)의 온도제어를 신속하게 또한 확실하게 행하는 것이 가능하게 된다.
이와 같은 기체재치스테이지10를 내장한 본 발명의 제1의 양태에 관한 기체처리장치인 드라이에칭장치20(이하, 에칭장치로 약칭함)의 개념도를 도2에 나타냈다. 에칭장치20는, 또한, 체임버21와, RF안테나22와, RF안테나23와, 멀티폴자석24을 구비하고 있다. 그리고, 이 에칭장치20에 있어서는, 기체로서 실리콘반도체기판40을 예로 들어 설명한다.
2개의 RF안테나22는, 체임버21의 상부에 배설된 직경 350mm의 원통형 석영관으로 이루어지는 벨자25의 외측을 돌게 하여 배설되고, M=1모드의 플라즈마를 생성하는 안테나형상을 가지고, 매칭네트워크27를 통해 헬리콘파(波) 플라즈마발생원28에 접속되어 있다. 이들의 RF안테나22의 외측에는, 내주(內周)코일과 외주(外周)코일과로 구성된 솔레노이드코일·어셈블리26가 배설되어 있다. 이 솔레노이드코일·어셈블리26중, 내주코일은 헬리콘파의 전달운반에 기여하고, 외주코일은 생성된 플라즈마의 수송에 기여한다. RF안테나23는, 체임버21의 상판121(석영제임)의 위에 루프형으로 설치되어 있고, 매칭네트워크29를 통해 전원30에 접속되어 있다. 멀티폴자석24은, 체임버21의 하부 외측에 배설되어 있고, 일렉트론이 체임버21의 측벽에서 소실하는 것을 억제하기 위한 커스프자장(磁場)을 형성한다.
또, 체임버내에는, 기체인 실리콘반도체기판40을 유지·고정하기 위한 기체재치스테이지10(도 1의 (A) 참조)가 배설되어 있다. 또한, 체임버21내의 가스를 배기하기 위한 배기구31가, 진공펌프 등의 부압(負壓)수단(도시하지 않음)에 접속되어 있다. 기체재치스테이지10에는, 실리콘반도체기판40에의 입사이온에너지를 제어하기 위한 바이어스전원32이 접속되고, 또한, 모재12에 상당하는 온도조절재킷에는 세라믹스층13에 정전흡착력을 발휘시키기 위한 직류전원33이 접속되어 있다. 또, 기체재치스테이지10의 모재12내에 배설된 히터14는, 전원39에 접속되어 있다. 또한, 기체인 실리콘반도체기판40의 온도를 계측하기 위한 형광화이버온도계36가, 에칭장치20에는 구비되어 있다.
기체재치스테이지10의 모재12내에 배설된 배관15은, 배관34A,34B을 통해 온도제어용 열매체공급장치35에 접속되어 있다. 온도제어용 열매체공급장치35는, 실리콘오일 등의 온도제어용 열매체를, 배관34A을 통해 기체재치스테이지10의 배관15에 공급하고, 배관34B을 통해 배관15으로부터 송출된 온도제어용 열매체를 받아들이고, 또한, 이 온도제어용 열매체를 소정온도로 가열 또는 냉각한다. 경우에 따라서는, 온도제어용 열매체공급장치35에 틸러를 내장하고, 배관34A,15,34B내에 플론가스 등의 저온(예를 들면 0˚C)의 온도제어용 열매체(냉매)를 흐르게 해도 된다. 이와 같이, 온도제어용 열매체를 배관(15)내에 순환시킴으로써, 기체재치스테이지10상에 유지·고정된 기체인 실리콘반도체기판40의 온도제어를 행한다. 온도제어용 열매체공급장치35에 접속된 배관34A에는, 고온에서의 동작가능한 제어밸브37가 배설되어 있다. 한편, 배관34A과 배관34B과의 사이의 바이패스배관34C에도 제어밸브37가 배설되어 있다. 그리고, 이와 같은 구성하에, 제어밸브37의 개폐도를 제어함으로써, 배관15에의 온도제어용 열매체의 공급량을 제어한다. 또, 형광화이버온도계36에서 검지된 온도를 제어장치(PID콘트롤러)38에서 검출하고, 미리 설정된 실리콘반도체기판40의 온도와의 차이로부터, 미리 실험이나 계산에 의해 결정된 공급량으로 되도록, 온도제어용 열매체의 공급량이 제어장치38에 의해 결정된다.
또한, 도 1의 (A)에 나타낸 기체재치스테이지 10 에 있어서는, 기체인 실리콘 반도체기판 40 의 설정온도에도 의하지만, 통상은 히터 14 에 의한 가열에 의해 주된 온도제어가 이루어진다. 그리고, 온도제어용 열매체에 의한 기체재치 스테이지 10 의 온도제어는, 실리콘 반도체기판 40 의 온도안정을 위한 보조적인 온도제어이다. 즉, 플라즈마 에칭처리 등을 행한 경우, 플라즈마로부터의 입열을 기체인 실리콘 반도체기판 40, 더욱이는 기체재치 스테이지 10 가 받는 결과, 히터 14 에 의한 가열만으로는 실리콘 반도체기판 40 을 설정온도로 유지해 두는 것이 곤란해지는 경우가 있다. 이와 같은 경우에, 히터 14 의 가열에 더하여, 기체인 실리콘 반도체기판 40 을 설정온도로 유지할 플라즈마로부터의 입열을 상쇄하도록 설정온도보다 낮은 온도의 온도제어용 열매체를 배관 15 으로 상방르게 한다. 이에 따라, 실리콘 반도체기판 40 을 설정온도로 안정시킬 수 있다. 또한, 도 2에 있어서는, 에칭가스 도입부, 게이트 밸브 등의 에칭장치의 세부에 대해서는, 그 도시를 생략하였다.
다음으로, 기체처리장치인 에칭장치 20 를 이용한 기체처리방법(구체적으로는 플라즈마 에칭처리방법)을, 도 3의 (A) 및 (B)를 참조하여 설명한다. 또한, 이 기체처리방법에서는, 주로 동(Cu)막 43 이 기체에 상당한다.
우선, 실리콘 반도체기판 40 상에 형성된 SiO2로 이루어지는 기초절연층 41 상에, Cu막 43 을 형성한다. 구체적으로는, 우선 실리콘 반도체기판 40 상에 공지의 방법으로 형성된 기초절연층 41 상에, 밀착층으로서 TiN막 42 을 스퍼터법에 의해 형성하였다. 이어서, TiN막 42 상에 스퍼터법에 의해 기체에 상당하는 Cu막 43 을 형성하고, 그 위에 스퍼터법으로 TiN막 44 을 더욱 형성하였다. 그리고, 이 TiN막 44 상에 SiO2막을 형성하고, 공지의 리소그래피기술 및 에칭기술에 의해 이 SiO2막을 더욱 패터닝하고, SiO2막으로 이루어지는 마스크 패턴 45 을 형성하였다. 이 상태를, 도 3의 (A)의 모식적인 일부단면도에 나타낸다.
이어서, 마스크 패턴 45 을 형성한 실리콘 반도체기판 40 을 도 2에 나타낸 에칭장치 20 내의 기체재치 스테이지 10 상에 재치하고, 세라믹스층 13 에 정전흡착력을 발휘시켜 실리콘 반도체기판 40 을 기체재치 스테이지 10 상에 유지, 고정한다. 그리고, 히터 14 의 작동 및 온도제어용 열매체를 배관 15 에 흐르게 함으로써 기체재치 스테이지 10 의 가열을 행하고, 기체인 Cu막 43 을 포함하는 실리콘 반도체기판 40 을 이하의 표 1에 나타낸 설정온도로 조정하였다. 그리고, 마스크 패턴 45 을 에칭용 마스크로서, 이하의 표 1에 예시하는 조건으로, TiN막 44, Cu막 43, TiN막 42 에 대하여 플라즈마 에칭처리를 행하고, Cu막 43 으로 구성된 배선을 얻었다. 이 상태를, 도 3의 (B)의 모식적인 일부단면도에 나타낸다.
에칭가스 Cl2=3 sccm
압력 0.05Pa
전원 28 으로부터의 파워(RF안테나 22) 1.5kW(13.56MHz)
전원 30 으로부터의 파워(RF안테나 23) 1.5kW(13.56MHz)
RF바이어스 350W
실리콘 반도체기판 온도 250℃
이와 같이 하여 플라즈마 에칭처리를 행한 바, 에칭처리중에도 플라즈마로부터의 입열에 기인한 실리콘 반도체기판 40 등의 온도상승이 거의 확인되지 않고, 에칭처리중에, 실리콘 반도체기판 40, 더욱이는 Cu막 43 을 설정한 온도(250℃)로 안정되게 유지할 수 있었다. 그리고, 이와 같이 Cu막 43 을 포함하는 실리콘 반도체기판 40 의 온도를 고정밀도로 안정시킬 수 있었기 때문에, 에칭가스로서 Cl2를 단독으로 이용했음에도 불구하고, 양호한 이방성형상을 가지는 배선을 형성할 수 있어, Cu막 43 의 가공을 양호하게 행할 수 있었다.
비교를 위해, 정전처크 기능을 발휘시키지 않고, 단순히 기체재치 스테이지 10 에 의해 실리콘 반도체기판 40 의 가열만을 행하고, 표 1에 나타낸 조건과 동일한 조건으로 실리콘 반도체기판 40 의 온도변화를 조사하였다. 그 결과, 실리콘 반도체기판 40 의 온도는, 에칭처리 개시시에는 충분한 가열이 이루어지지 않아, 설정온도보다 상당히 낮은 190℃였다. 그리고, 에칭처리의 진행에 따라 온도가 상승하고, 에칭처리 개시후 약 60초로 설정온도인 250℃에 도달하였다. 더욱 에칭처리를 계속한 바, 플라즈마로부터의 입열에 기인하여 온도상승이 더욱 진행되고, 에칭처리 개시후 120초 후에는 약 265℃까지 상승하였다.
따라서, 기체재치 스테이지 10 에 있어서 정전흡착력을 발휘시킴으로써, 종래의 기술로는 불가능했던 높은 정밀도로의 기체의 온도제어를 행할 수 있다는 것이 확인되었다. 또, 이와 같은 에칭처리를 반복하여 행한 후, 메인티넌스(maintenance)시 등에 체임버 21 내를 상온으로 되돌려도, 기체재치 스테이지 10 에는 세라믹스층 13 의 균열 등의 파손은 전혀 확인되지 않았다.
또한, 도 1의 (B)의 모식적인 단면도에 나타낸 바와 같이, 세라믹스층을 용 사법이 아니라 납땜법에 의해 모재 12 의 표면에 설치해도 좋다. 이 경우에는, 소결법으로 제작된 Al2O3제 세라믹스판으로 이루어지는 세라믹스층 16 을, 예를 들면 약 600℃의 온도에서 Al­Mg­Ge계의 납재 17 를 이용한 납땜법으로 모재 12 의 표면에 부착하면 좋다. 또한, 납재로서는, 그외에 티탄, 주석, 안티몬, 마그네슘으로 이루어지는 합금을 들 수 있다. 납재의 선팽창율 [단위:10-6/K]도, 모재의 선팽창율을 α1 [단위:10-6/K]로 했을 때, (α1­3)이상, (α1+3)이하의 범위내에 있는 것이 바람직하다.
또한, Cu막의 드라이 에칭처리에서는, Cl2이외에도, HCl, HBr, HI라는 가스를 단독으로 또는 혼합하여 이용할 수 있다. HBr을 사용했을 때의 Cu막의 드라이 에칭조건을, 이하의 표 2에 예시한다.
에칭가스 HBr=50 sccm
압력 0.5Pa
전원 28 으로부터의 파워(RF안테나 22) 2.5kW
전원 30 으로부터의 파워(RF안테나 23) 2.5kW
RF바이어스 300W
실리콘 반도체기판 온도 250℃
(실시의 형태2)
실시의 형태 2는 실시의 형태 1의 변형이다. 실시의 형태 2가 실시의 형태 1과 상위한 점은, 복합재료에서의 모재를 구성하는 세라믹스부재의 조성을 질화 알루미늄으로 하고, 모재를 구성하는 알루미늄계 재료의 알루미늄으로 한 점에 있다.
복합재료에 의해 구성되는 기체처리장치의 일부인 실시의 형태 2에서의 기체재치 스테이지 10A 의 모식적인 단면도를, 도 4의 (A)에 나타낸다. 이 기체재치 스테이지 10A 도 복합재료 11A 로 구성되어 있다. 이 복합재료 11A 는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재 12A (온도조절쟈켓에 상당한다)와, 이 모재 12A 의 표면에 설치된 세라믹스층 13A 으로 이루어진다. 모재 12A 의 형상은 원반이다. 또, 실시의 형태 1과 달리, 모재 12A 의 저면에는 히터 14A 가 부착되어 있다.
실시의 형태 2에서는, 모재 12A 를 구성하는 세라믹스부재의 조성을 질화 알루미늄(AlN)으로 하였다. 또한, 질화 알루미늄의 선팽창율은 5.1×10-6/K이며, 열전도율은 0.235cal/cm·초·K이다. 또, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al)으로 하였다. (α1­3)≤α2≤(α1+3)을 만족하도록 질화 알루미늄과 알루미늄과의 용적비는 결정되어 있고, 구체적으로는 질화 알루미늄/알루미늄의 용적비는 70/30이다. 또한, 모재 12A 의 선팽창율은, 100∼300℃에서의 평균치로, 8.7×10-6/K이다. 즉, α1=8.7 이다. 세라믹스층 13A 을 구성하는 재료를, TiO2가 약 2.5중량% 첨가된 Al2O3로 하였다. 세라믹스층 13A 은, 용사법으로 모재 12A 의 표면에 형성되어 있다. Al2O3는 본래 그 선팽창율이 약 8×10-6/K이지만, Al2O3에 TiO2를 첨가함으로써, 그 선팽창율은, 100∼300℃에서의 평균치로, 약 9×10-6/K(α2는 약 9)가 되고, 모재 12A 의 선팽창율 α1 과 거의 같은 값이 된다. 이에 따라, 모재 12A 의 고온가열 등에 의한 온도변화에 의해서도 세라믹스층 13A 에 균열 등의 손상이 발생하는 것을 효과적으로 방지할 수 있다. 또, Al2O3에 TiO2를 첨가함으써, 세라믹스층 13A 의 체적고유저항치를 1011Ω/□의 범위로 조정할 수 있다. 이에 따라 세라믹스층 13A 이 정전처크 로서의 기능을 효과적으로 발휘한다.
히터 14A 는, 약 400℃까지의 가열이 가능한 PBN히터(파이로리틱·보론·나이트라이드·파이로리틱·그래파이트·히터)이다. 히터 14A 를 모재 12A 인 온도조절쟈켓의 이면에 부착함으로써, 모재 12A 를 상온으로부터 약 400℃까지의 범위내에서 온도제어하는 것이 가능하게 된다. 그리고, 기체재치 스테이지 10A 의 모재 12A 에 배선(도시생략)을 통하여 직류전압을 인가하면, 모재 12A 를 전극으로서 이용할 수 있고, 세라믹스층 13A 이 정전처크 로서 기능을 한다. 또한, 이 기체재치 스테이지 10A 에는, 세라믹스층 13A 상에, 재치, 유지된 기체(예를 들면 실리콘 반도체기판)를 밀어올리기 위한 푸셔 핀(도시생략)이 매설되어 있다. 또, 이 푸셔 핀에는, 푸셔 핀을 세라믹스층 13A 정상면상에 돌출시키거나 또는 정상면하에 매몰시키는 기구(도시생략)가 부착되어 있다.
복합재료에 의해 구성되는 기체처리장치의 일부인 기체재치 스테이지 10A 의 제작방법을, 이하에 설명한다. 복합재료 11A 는, 기본적으로는 실시의 형태 1과 마찬가지로, (A)세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 따라서 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과, (B)모재의 표면에 세라믹스층을 설치하는 공정으로 제작된다. 실시의 형태 2에서는, 이 공정 (A)는 비가압 금속침투법에 따라, 질화 알루미늄입자로 성형된 세라믹스부재로 용융한 알루미늄을 조성으로 한 알루미늄계 재료를 비가압상태로 침투시키는 공정으로 이루어진다.
구체적으로는, 평균입경 10㎛의 AlN입자를 이장주입(泥漿鑄入)성형법으로 성형한 후, 약 800℃의 온도로 소성을 행함으로써, AlN입자를 성형한 프리폼인 세라믹스부재를 제작하였다. 그리고, 이 세라믹스부재를 약 800℃로 예비가열해 두고, 약 800℃로 가열하여 용융한 알루미늄을 비가압으로 세라믹스부재에 침투시킨다. 이에 따라, AlN70체적%­Al30체적%의 구성의 모재 12A 를 제작할 수 있다. 이어서, 모재 12A 를 성형가공하여 원반상의 온도조절쟈켓의 형상으로 만든다. 또한, 이 모재 12A 에는, 푸셔 핀 등을 매설하기 위한 구멍도 미리 가공해 둔다. 이어서, 이와 같이 하여 얻어진 모재 12A 의 정상면을 연마한다. 그리고나서, 이 연마면에 Al2O3에 TiO2를 약 2.5중량% 혼합한 입경이 약 10㎛의 혼합분말을 진공용사법에 의해 용융상태로 세차게 불어, 고화시킨다. 이에 따라, 체적고유저항치가 1011Ω/□ 범위의 두께 약 0.2㎜의 세라믹스층 13A 을 형성할 수 있다. 그리고나서, 모재 12A 의 저면, 즉 세라믹스층 13A 이 설치된 면과 반대측의 면에 PBN히터로 이루어지는 히터 14A 를 부착하여, 기체재치 스테이지 10A 를 얻는다. 또한, 세라믹스층 13A 의 성형전에, 용사기초층으로서 예를 들면 알루미늄을 약 5중량% 포함한 니켈(Ni­5중량%Al)을 용사해 두고, 이 용사기초층상에 세라믹스층 13A 을 용사법으로 형성해도 좋다.
이와 같이 하여 제작된 기체재치 스테이지 10A 에 있어서는, 세라믹스층 13A 의 선팽창율 α2 이 모재 12A (온도조절쟈켓)의 선팽창율 α1 과 거의 같은 값으로 되어 있다. 그러므로, 모재 12A의 고온가열 등에 의한 온도변화에 의해서도, 세라믹스층 13A 에 균열 등의 손상은 발생하지 않는다. 또, 실시의 형태 2의 복합재료의 제조방법, 기체처리장치의 제작방법, 기체재치 스테이지의 제작방법에 있어서는, 질화 알루미늄과 알루미늄과의 용적비를 조정함으로써, 필요에 따라서 Al2O3로 이루어지는 세라믹스층 13A 에서의 TiO2의 첨가율을 더욱 조정함으로써, 모재 12A 의 선팽창율 α1 과 세라믹스층 13A 의 선팽창율 α2 을, (α1­3)≤α2≤(α1+3)을 만족하는 관계로 할 수 있다. 그 결과, 기체재치 스테이지 10A 의 온도변화에 기인한 세라믹스층 13A 의 균열 등의 손상발생을 효과적으로 방지할 수 있다.
또, 세라믹스층 13A 을 모재 12A 상에 용사법으로 형성하므로, 모재 12A 와 세라믹스층 13A 이 보다 더욱 일체화한다. 이에 따라, 모재 12A 와 세라믹스층 13 A 과의 사이의 응력완화를 도모할 수 있는 동시에, 모재 12A 로부터 세라믹스층 13A 로의 열전도가 신속해지고, 세라믹스층 13A 에 유지, 고정된 기체(예를 들면 실리콘 반도체기판)의 온도제어를 신속하게 그리고 확실하게 행하는 것이 가능해진다.
복합재료 11A 에 의해 구성되는 기체처리장치의 일부인 이와 같은 기체재치 스테이지 10A 를 구비한, 본 발명의 제1 양태에 의한 기체처리장치인 실시의 형태 2의 에칭장치 20A 는, 도 5에 개념도를 나타낸 바와 같이, 배관 15 및 이에 관련한 설비를 제외하고, 실질적으로는 실시의 형태 1에서 설명한 기체처리장치와 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또, 실시의 형태 2에서의 기체처리장치를 이용한 본 발명의 제1 양태에 관련한 기체처리방법(플라즈마 에칭처리방법)도, 실질적으로는 실시의 형태 1에서 설명한 기체처리방법과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 기체재치 스테이지 10A 의 온도제어는, 형광화이버 온도계 36 로 검지된 온도를 제어장치(PID콘트롤러) 38 로 검출하고, 히터 14A 로 전력을 공급하기 위한 전원 39 을 제어함으로써 행할 수 있다.
도 4의 (B)의 모식적인 단면도에 나타낸 바와 같이, 세라믹스층을 용사법이 아니라 납땜법에 의해 모재 12A 의 표면에 설치하여도 좋다. 이 경우에는, 소결법으로 제작된 Al2O3제 세라믹스판으로 이루어지는 세라믹스층 16A 을, 예를 들면 약 600℃의 온도로 Al­Mg­Ge계의 납재 17A 를 이용한 납땜법으로 모재의 표면에 부착하면 좋다.
또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하였지만, 그 대신에, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면, Al80체적%­Si20체적%)로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화 알루미늄(AlN)으로 구성하여도 좋다.
(실시의 형태 3)
실시의 형태 3도 실시의 형태 1의 변형이다. 실시의 형태 3이 실시의 형태 1과 상위한 점은, 복합재료에서의 모재를 구성하는 세라믹스부재의 조성을 탄화규소(SiC)로 하고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al)으로 한 점에 있다.
복합재료에 의해 구성되는 기체처리장치의 일부인 실시의 형태 3에서의 기체재치 스테이지 10B 의 모식적인 단면도를, 도 6의 (A)에 나타낸다. 이 기체재치 스테이지 10B 도 복합재료 11B 로 구성되어 있다. 이 복합재료 11B 는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재 12B (온도조절쟈켓에 상당함)와, 이 모재 12B 의 정상면 및 측면에 설치된 세라믹스층 13B 으로 이루어진다. 모재 12B 의 형상은 원반이다. 또한, 실시의 형태 2와 마찬가지로, 모재 12B 의 저면에는 히터 14B 가 부착되어 있다.
실시의 형태 3에서는, 모재 12B 를 구성하는 세라믹스부재의 조성을 탄화 규소(SiC)로 하였다. 또한, 탄화 규소의 선팽창율은 4×10-6/K이며, 열전도율은 0.358cal/cm·초·K(150W/m·K)이다. 또, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al)으로 하였다. (α1­3)≤α2≤(α1+3)을 만족하도록 탄화 규소와 알루미늄과의 용적비는 결정되어 있고, 구체적으로는 탄화 규소/알루미늄의 용적비는 70/30이다. 또한, 모재 12B 의 선팽창율은, 100∼300℃에서의 평균치로, 6.2×10-6/K이다. 즉, α1=6.2 이다. 세라믹스층 13B 을 구성하는 재료를, TiO2가 약 1.5중량% 첨가된 Al2O3로 하였다. 세라믹스층 13B 은, 용사법으로 모재 12B 의 정상면 및 측면에 형성되어 있다. Al2O3는 본래 그 선팽창율이 약 8×10-6/K이지만, Al2O3에 TiO2를 첨가함으로써, 그 선팽창율은, 100∼300℃에서의 평균치로, 약 8∼9×10-6/K(α2는 약 8∼9)가 되고, 모재 12B 의 선팽창율 α1 과 세라믹스층 13B 의 선팽창율 α2 의 관계는, (α1­3)≤α2≤(α1+3)을 만족한다. 이에 따라, 모재 12B 의 고온가열 등에 의한 온도변화에 의해서도 세라믹스층 13B 에 균열 등의 손상이 발생하는 것을 효과적으로 방지할 수 있다. 또, Al2O3에 TiO2를 첨가함으로써, 세라믹스층 13B 의 체적고유저항치를 1011Ω/□의 범위로 조정할 수 있다. 이에 따라 세라믹스층 13B 이 정전처크 로서의 기능을 효과적으로 발휘한다.
히터 14A 는, 실시의 형태 2와 마찬가지로, PBN히터이다. 히터 14B 를 모재 12B 인 온도조절쟈켓의 이면에 부착함으로써, 모재 12B 를 상온으로부터 약 400℃까지의 범위내에서 온도제어하는 것이 가능하게 된다. 그리고, 기체재치 스테이지 10B 의 모재 12B 에 배선(도시생략)을 통하여 직류전압을 인가하면, 모재 12B 를 전극으로서 이용할 수 있고, 세라믹스층 13B 이 정전처크 로서 기능을 한다. 또한, 이 기체재치 스테이지 10B 에는, 세라믹스층 13B 상에, 재치, 유지된 기체(예를 들면 실리콘 반도체기판)를 밀어올리기 위한 푸셔 핀(도시생략)이 매설되어 있다. 또, 이 푸셔 핀에는, 푸셔 핀을 세라믹스층 13B 의 정상면상에 돌출시키거나 또는 정상면하에 매몰시키는 기구(도시생략)가 부착되어 있다.
복합재료에 의해 구성되는 기체처리장치의 일부인 기체재치 스테이지 10B 의 제작방법을, 이하에 설명한다. 복합재료 11B 는, 기본적으로는 실시의 형태 2와 마찬가지로, (A)세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 따라서 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과, (B)모재의 표면에 세라믹스층을 설치하는 공정으로 제작된다. 실시의 형태 3에서는, 이 공정 (A)는, 비가압 금속침투법에 따라, 탄화 규소입자로 성형된 세라믹스부재로 용융한 알루미늄을 조성으로 한 알루미늄계 재료를 비가압상태로 침투시키는 공정으로 이루어진다.
구체적으로는, 평균입경 15㎛의 SiC입자와 평균입경 60㎛의 SiC입자를 용적비에서 1:4로 혼합한 것을 주입이장성형법으로 성형한 후, 약 800℃의 온도로 소성을 행함으로써, SiC입자를 성형한 프리폼인 세라믹스부재를 제작하였다. 그리고, 이 세라믹스부재를 약 800℃로 예비가열해 두고, 약 800℃로 가열하여 용융한 알루미늄을 비가압으로 세라믹스부재에 침투시킨다. 이에 따라, SiC70체적%­Al30체적%의 구성의 모재 12B 를 제작할 수 있다. 이어서, 모재 12B 를 성형가공하여 원반상의 온도조절쟈켓의 형상으로 만든다. 또한, 이 모재 12B 에는, 푸셔 핀 등을 매설하기 위한 구멍도 미리 가공해 둔다. 이어서, 이와 같이 하여 얻어진 모재 12B 의 정상면 및 측면을 연마한다. 그리고나서, 이 연마면에 Al2O3에 TiO2를 약 1.5중량% 혼합한 입경이 약 10㎛의 혼합분말을 진공용사법에 의해 용융상태로 세차게 불어, 고화시킨다. 이에 따라, 체적고유저항치가 1011Ω/□ 범위의 두께 약 0.2㎜의 세라믹스층 13B 을 형성할 수 있다. 그리고나서, 모재 12B 의 저면, 즉 세라믹스층 13B 이 설치된 정상면과 반대측의 면에 PBN히터로 이루어지는 히터 14B 를 부착하여, 기체재치 스테이지 10B 를 얻는다. 또한, 세라믹스층 13B 의 형성전에, 용사기초층으로서 예를 들면 알루미늄을 약 5중량% 포함한 니켈(Ni­5중량%Al)을 용사해 두고, 이 용사기초층상에 세라믹스층 13B 을 용사법으로 형성해도 좋다.
또한, 기체재치 스테이지 10B의 제작방법은, 상술한 방법에 한정되지 않는다. 상술한 공정 (A)를, 실시의 형태 1과 마찬가지로, 용기(주형) 중에 탄화 규소를 조성으로 한 세라믹스부재를 배치하고, 이 용기(주형)내에 용융한 알루미늄을 조성으로 한 알루미늄계 재료를 흘려넣고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하는 공정으로 구성할 수도 있다. 즉, 기체재치 스테이지 10B 를 제작하는 데는, 우선 소정의 원반형상으로 성형된 SiC로 이루어지는 프리폼을 준비한다. 또한, 프리폼에는, 푸셔 핀 등을 매설하기 위한 구멍을 미리 가공해 둔다. 이어서, 프리폼으로 이루어지는 세라믹스부재를 약 800℃로 예비가열해 두고, 이어서 용기(주형)내에 약 800℃로 가열하여 용융상태로 한 알루미늄을 흘려넣는다. 그리고, 용기(주형)내에 약 1톤/㎠의 고압을 가하는 고압주조법을 실행한다. 그 결과, 세라믹스부재의 조직중에는, 알루미늄이 충전된다. 그리고, 알루미늄을 냉각, 고화시킴으로써 모재 12B 가 제작된다. 이하에 앞에 설명한 것과 같은 방법으로 기체재치 스테이지 10B 를 제작하면 좋다.
이와 같이 하여 제작된 기체재치 스테이지 10B 에 있어서는, 모재 12B 의 고온가열 등에 의한 온도변화에 의해서도, 세라믹스층 13B 에 균열 등의 손상은 발생하지 않는다. 또, 실시의 형태 3의 복합재료의 제조방법, 기체처리장치의 제작방법, 기체재치 스테이지의 제작방법에 있어서는, 탄화 규소와 알루미늄계 재료와의 용적비를 조정함으로써, 필요에 따라서 Al2O3로 이루어지는 세라믹스층 13B 에서의 TiO2의 첨가율을 더욱 조정함으로써, 모재 12B 의 선팽창율 α1 과 세라믹스층 13B 의 선팽창율 α2 을, (α1­3)≤α2≤(α1+3)을 만족하는 관계로 할 수 있다. 그 결과, 기체재치 스테이지 10B 의 온도변화에 기인한 세라믹스층 13B 의 균열 등의 손상발생을 효과적으로 방지할 수 있다.
또, 세라믹스층 13B 을 모재 12B 상에 용사법으로 형성하기 때문에, 모재 12B 와 세라믹스층 13B 이 보다 더욱 일체화한다. 이에 따라, 모재 12B 와 세라믹스층 13B 과의 사이의 응력완화를 도모할 수 있는 동시에, 모재 12B 로부터 세라믹스층 13B 로의 열전도가 신속하게 되고, 세라믹스층 13B 에 유지, 고정된 기체(예를 들면 실리콘 반도체기판)의 온도제어를 신속하게 그리고 확실하게 행하는 것이 가능해진다.
도 6의 (B)의 모식적인 단면도에 나타낸 바와 같이, 세라믹스층을 용사법이 아니라 납땜법에 의해 모재 12B 의 정상면 및 측면에 설치하여도 좋다. 이 경우에는, 소결법으로 제작된 Al2O3제 세라믹스판으로 이루어지는 세라믹스층 16B 을, 예를 들면 약 600℃의 온도로 Al­Mg­Ge계의 납재 17B 를 이용한 납땜법으로 모재의 정상면 및 측면에 부착하면 좋다.
또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하였지만, 그 대신에, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면 Al80체적%­Si20체적%)로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소로 함으로써, 모재의 선팽창율 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화 알루미늄(AlN)으로 구성하여도 좋다.
복합재료 11B 에 의해 구성되는 기체처리장치의 일부인 이와 같은 기체재치 스테이지 10B 를 구비한, 본 발명의 제1 양태에 의한 기체처리장치인 실시의 형태 3의 에칭장치 20B 의 개념도를 도 7에 나타낸다. 이 에칭장치 20B 는 ICP(Inductive Coupled Plasma)형의 드라이 에칭장치이다. 에칭장치 20B 에는, 석영제의 체임버 51 와, 상판과, 상판을 가열하기 위한 히터 53 와, 체임버 51 의 측면의 외측에 배설된 유도결합코일 54 이 구비되어 있다. 체임버 51 내에는, 기체인 실리콘 반도체기판 40 을 유지, 고정하기 위한 기체재치 스테이지 10B (도 6의 (A) 참조)가 배설되어 있다. 또한, 체임버 51 내의 가스를 배기하기 위한 배기구 58 가, 진공펌프 등의 부압(負壓)수단(도시생략)에 접속되어 있다. 기체재치 스테이지 10B 에는, 실리콘 반도체기판 40 으로의 입사 이온에너지를 제어하기 위한 바이어스전원 55 이 접속되고, 모재 12B 에 상당하는 온도조절쟈켓에는 세라믹스층 13B 에 정전흡착력을 발휘시키기 위한 직류전원 56 이 더욱 접속되어 있다. 또, 기체재치 스테이지 10B 의 모재 12B 에 배설된 히터 14B 는, 전원 57 에 접속되어 있다. 더욱이는, 기체인 실리콘 반도체기판 40 의 온도를 계측하기 위한 형광화이버 온도계(도시생략)가, 에칭장치 20B 에는 구비되어 있다. 기체재치 스테이지 10B 의 온도제어는, 형광화이버 온도계로 검지된 온도를 제어장치(PID콘트롤러)(도시생략)로 검출하고, 히터 14B 로 전력을 공급하기 위한 전원 57 을 제어함으로써 행할 수 있다. 또한, 상판 52 은 뒤에 설명하는 바와 같이, 본 발명의 복합재료로 제작되어 있는 것이 바람직하다.
실시의 형태 1과 마찬가지로, 기체처리장치인 에칭장치 20B 를 이용하여 동(Cu)막의 플라즈마 에칭을 행하였다. 에칭의 조건을 이하의 표 3에 예시하는 조건으로 하였다.
에칭가스 Cl2=10sccm
압력 0.13Pa(1mTorr)
소스 파워 1.5kW(13.56MHz)
RF바이어스 350W
실리콘 반도체기판 온도 250℃
상판 52 의 온도 300℃
이와 같이 하여 플라즈마 에칭처리를 행한 바, 에칭처리중에도 플라즈마로부터의 입열에 기인한 실리콘 반도체기판 40 등의 온도상승이 거의 확인되지 않고, 에칭처리중에, 실리콘 반도체기판 40, 더욱이는 Cu막 43 (도 3 참조)을 설정한 온도(250℃)로 안정되게 유지할 수 있었다. 그리고, 이와 같이 Cu막 43 을 포함하는 실리콘 반도체기판 40 의 온도를 고정밀도로 안정시킬 수 있었기 때문에, 에칭가스로서 Cl2를 단독으로 이용했음에도 불구하고, 양호한 이방성형상을 가지는 배선을 형성할 수 있어, Cu막 43 의 가공을 양호하게 행할 수 있었다.
(실시의 형태 4)
실시의 형태 4는 실시의 형태 1의 변형이며, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 그리고 본 발명의 제1 양태에 의한 기체처리방법(단, 플라즈마 CVD 처리)에 관한 것이다.
즉, 실시의 형태 4에서의 모재는, 실시의 형태 1과 마찬가지로, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이는, 실시의 형태 4의 기체를 처리하기 위한 기체처리장치의 일부는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 4의 기체처리장치에서는, 실시의 형태 1과 달리, 기체에 대하여 플라즈마 CVD 처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 1과 동일한 구조를 가지는, 정전처크 기능을 가지며 또 온도제어수단을 구비한 기체재치 스테이지(보다 구체적으로는 웨이퍼 스테이지)이다.
실시의 형태 4에서의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법은, 실시의 형태 1과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 이하에 우선 실시의 형태 4에서의 기체처리장치인 플라즈마 CVD 장치의 개요를 설명하고, 이어서 본 발명의 제1 양태에 의한 기체처리방법(단, 플라즈마 CVD 처리)을 설명한다.
실시의 형태 4에서의 기체처리장치인 플라즈마 CVD 장치(보다 구체적으로는, 바이어스 ECR CVD 장치)의 개념도를 도 8에 나타낸다.
이 바이어스 ECR CVD 장치 60 (이하에, CVD장치라고 약칭한다)에는, 알루미늄제 블록으로부터 측벽 61A 이 제작된 체임버 61 와, 도 1에 나타낸 기체재치 스테이지(웨이퍼 스테이지) 10 가 구비되어 있다. 기체재치 스테이지 10 는 체임버 61 의 저부에 배치되어 있다.
체임버 61 의 정상면에는 석영제의 창 61B 이 설치되어 있다. 이 창 61B 의 상방에는 마이크로파 발생수단 62 이 배설되어 있다. 또, 측벽 61A 의 외주면에는 히터 63 가 설치되어 있고, 이에 따라 체임버 61 내를 소정온도로 가열할 수 있다. 또한, 체임버 61 의 상부측 주변부에는 솔레노이드 코일 64 이 배치되어 있다. 또, 체임버 61 의 배기측에는 펌프 65 가 설치되어 있다. 기체재치 스테이지 10 에는, RF바이어스전원 66 이 접속되어 있다. 또, 모재 12 에 상당하는 온도조절쟈켓에는 세라믹스층 13 에 정전흡착력을 발휘시키기 위한 직류전원 67 이 접속되어 있다. 또한, 모재 12 내에 배설된 히터 14 는 전원 68에 접속되어 있다. 또한, 배관 34A, 34B, 34C 이나 온도제어용 열매체공급장치 35, 형광화이버 온도계 36, 제어밸브 37, 제어장치(PID콘트롤러) 38 의 도시는 생략하였다.
이와 같은 구성의 CVD장치 60 에 있어서는, 마이크로파 발생수단 62 으로부터 창 61B 을 통하여 공급된 마이크로파와, 솔레노이드 코일 64 에 의한 자장의 공명작용에 의해 ECR방전이 발생하고, 여기에서 생성되는 이온이 기체재치 스테이지 10 상의 기체(예를 들면 실리콘 반도체기판 40)에 입사한다. 따라서, 이와 같은 기구에 의해, CVD장치 60 에서는 고정밀도의 갭필을 실현할 수 있다. 또한, CVD장치 60 에는, CVD처리용의 원료가스를 체임버 61 에 공급하기 위한 배관(도시생략)이 설치되어 있다.
실시의 형태 4에서의 CVD장치 60 를 이용한 본 발명의 제1 양태에 의한 기체처리방법(단, 플라즈마 CVD 처리)을, 도 9의 (A)∼(C)를 참조하여 이하에 설명한다.
우선, 실리콘 반도체기판 40 상에 SiO2로 이루어지는 기초절연층 46 을 공지의 방법에 의해 형성하고, 이어서 알루미늄계 합금으로 이루어지는 배선 47 을, 공지의 스퍼터법 및 리소그래피기술 및 에칭기술에 따라 형성한다. 이 예에 있어서는, 기초절연층 46 및 알루미늄계 합금으로 이루어지는 배선 47 이 기체에 상당한다. 이 상태를, 도 9의 (A)의 모식적인 일부단면도에 나타낸다.
그리고, 이 실리콘 반도체기판 40 을 도 8에 나타낸 CVD장치 60 의 기체재치 스테이지 10 상에 재치하고, 세라믹스층 13 을 정전처크 로서 기능하게 하고, 실리콘 반도체기판 40 을 기체재치 스테이지 10 상에 유지, 고정한다. 이어서, 기체재치 스테이지 10 를, CVD처리에서의 조건온도인 350℃로 가열조정하였다. 즉, 히터 14 의 작동 및 온도제어용 열매체를 배관 15 에 흐르게 함으로써, 기체재치 스테이지 10 의 가열을 행하였다. 그리고, 이하의 표 4에 예시하는 조건으로 플라즈마 CVD 처리를 행하고, SiO2로 이루어지는 층간절연막 48 을 형성하였다. 이 상태를 도 9의 (B)의 모식적인 일부단면도에 나타낸다.
사용가스 SiH4/N2O=80/20 sccm
압력 1.3Pa(10mTorr)
마이크로파 파워 1500W
RF바이어스 800W(800kHz)
실리콘 반도체기판 온도 350℃
이와 같이 하여 층간절연막 48 을 형성한 후, 예를 들면 CMP법(화학적 기계적 연마법)에 의해 층간절연막 48 의 평탄화를 행하고, 도 9의 (C)에 모식적인 일부단면도를 나타낸 바와 같이 평탄화된 층간절연막 48A 을 형성하였다.
이와 같은 플라즈마 CVD 처리방법에 의하면, 기체재치 스테이지 10 를 이용하여 기체의 온도제어를 행하면서 층간절연막 48 을 형성하기 때문에, 층간절연막 48 의 성막(成膜)중에 기체의 온도를 고정밀도로 제어할 수 있다. 그 결과, 층간절연막 48 중에 구조수(층간절연막 48 중에 파고들어온 수분) 가 적은 신뢰성이 높은 층간절연막을 형성할 수 있다. 또한, 종래에는 고온사양의 정전처크 시스템이 없었으므로, 기체의 온도를 충분히 제어할 수 없었다. 그 때문에 층간절연막중의 H나 OH를 충분히 제거할 수 없어, 신뢰성에 문제가 남는 막질을 가지는 SiO2로 이루어지는 층간절연막밖에 얻을 수 없었다.
(실시의 형태 5)
실시의 형태 5는 실시의 형태 2의 변형이며, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 그리고 본 발명의 제1 양태에 의한 기체처리방법(단, 플라즈마 CVD 처리)에 관한 것이다.
즉, 실시의 형태 5에서의 모재는, 실시의 형태 2와 마찬가지로, 질화알루미늄으로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 질화 알루미늄으로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이, 실시의 형태 5의 기체를 처리하기 위한 기체처리장치의 일부는, 질화알루미늄으로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 5의 기체처리장치에서는, 실시의 형태 2와 달리, 기체에 대하여 플라즈마 CVD 처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 2와 동일한 구조를 가지는, 정전처크 기능을 가지며 또 온도제어수단을 구비한 기체재치 스테이지(보다 구체적으로는 웨이퍼 스테이지)이다.
실시의 형태 5에서의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법은, 실시의 형태 2와 동일하게 할 수 있으므로, 상세한 설명은 생략한다.
복합재료 11A 에 의해 구성되는 기체처리장치의 일부인 이와 같은 기체재치 스테이지 10A 를 구비한, 본 발명의 제1 양태에 의한 기체처리장치인 실시의 형태 5의 CVD장치는, 배관 15 및 이에 관련한 설비를 제외하고, 도 8에 개념도를 나타낸 실시의 형태 4에서 설명한 CVD장치와 실질적으로 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또, 실시의 형태 5에서의 기체처리장치를 이용한 본 발명의 제1 양태에 관련한 기체처리방법(플라즈마 CVD 처리방법)도, 실질적으로는 실시의 형태 4에서 설명한 기체처리방법과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 기체재치 스테이지 10A 의 온도제어는, 형광화이버 온도계 36 로 검지된 온도를 제어장치(PID콘트롤러) 38 로 검출하고, 히터 14A 로의 공급전력을 제어함으로써 행할 수 있다.
실시의 형태 5에서의 플라즈마 CVD 처리방법에 의해서도, 기체재치 스테이지 10A 를 이용하여 기체의 온도제어를 행하면서 층간절연막 48 을 형성하기 때문에, 층간절연막 48 의 성막중에 기체의 온도를 고정밀도로 제어할 수 있다. 그 결과, 층간절연막 48 중에 구조수가 적은 신뢰성이 높은 층간절연막 48 을 형성할 수 있다.
또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하였지만, 그 대신에, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면 Al80체적%­Si20체적%)로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화 알루미늄(AlN)으로 구성하여도 좋다.
(실시의 형태 6)
실시의 형태 6은 실시의 형태 3의 변형이며, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 그리고 본 발명의 제1 양태에 의한 기체처리방법(단, 플라즈마 CVD 처리)에 관한 것이다.
즉, 실시의 형태 6에서의 모재는, 실시의 형태 3와 마찬가지로, 탄화규소로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 탄화규소로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이, 실시의 형태 6의 기체를 처리하기 위한 기체처리장치의 일부는, 탄화규소로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 6의 기체처리장치에서는, 실시의 형태 3와 달리, 기체에 대하여 플라즈마 CVD 처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 3과 동일한 구조를 가지는, 정전처크 기능을 가지며 또 온도제어수단을 구비한 기체재치 스테이지(보다 구체적으로는 웨이퍼 스테이지)이다.
실시의 형태 6에서의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법은, 실시의 형태 3과 동일하게 할 수 있으므로, 상세한 설명은 생략한다.
복합재료 11B 에 의해 구성되는 기체처리장치의 일부인 이와 같은 기체재치 스테이지 10B 를 구비한, 본 발명의 제1 양태에 의한 기체처리장치인 실시의 형태 6의 CVD장치는, 배관 15 및 이에 관련한 설비를 제외하고, 도 8에 개념도를 나타낸 실시의 형태 4에서 설명한 CVD장치와 실질적으로 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또, 실시의 형태 6에서의 기체처리장치를 이용한 본 발명의 제1 양태에 의한 기체처리방법(플라즈마 CVD 처리방법)도, 실질적으로는 실시의 형태 4에서 설명한 기체처리방법과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 기체재치 스테이지 10B 의 온도제어는, 형광화이버 온도계 36 로 검지된 온도를 제어장치(PID콘트롤러) 38 로 검출하고, 히터 14B 로의 공급전력을 제어함으로써 행할 수 있다.
실시의 형태 6에서의 플라즈마 CVD 처리방법에 의해서도, 기체재치 스테이지 10B 를 이용하여 기체의 온도제어를 행하면서 층간절연막 48 을 형성하기 때문에, 층간절연막 48 의 성막중에 기체의 온도를 고정밀도로 제어할 수 있다. 그 결과, 층간절연막 48 중에 구조수가 적은 신뢰성이 높은 층간절연막 48 을 형성할 수 있다.
또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하였지 만, 그 대신에, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면 Al80체적%­Si20체적%)로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화알루미늄(AlN)으로 구성하여도 좋다.
(실시의 형태 7)
실시의 형태 7도 실시의 형태 1의 변형이며, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 및 본 발명의 제1 양태에 의한 기체처리방법(단, 스퍼터처리)에 관한 것이다.
즉, 실시의 형태 7에서의 모재는, 실시의 형태 1과 마찬가지로, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이는, 실시의 형태 7의 기체를 처리하기 위한 기체처리장치의 일부는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 7의 기체처리장치에서는, 실시의 형태 1과 달리, 기체에 대하여 스퍼터처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 1과 동일한 구조를 가지는, 정전처크 기능을 가지며 또 온도제어수단을 구비한 기체재치 스테이지(보다 구체적으로는 웨이퍼 스테이지)이다.
실시의 형태 7에서의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법은, 실시의 형태 1과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 이하에 우선 실시의 형태 7에서의 기체처리장치인 스퍼터장치의 개요를 설명하고, 다음에 본 발명의 제1 양태에 의한 기체처리방법(단, 소프트 에칭처리를 포함하는 스퍼터처리)을 설명한다.
실시의 형태 7에서의 기체처리장치인 스퍼터장치 70 의 개념도를, 도 10에 나타낸다. 이 스퍼터장치 70 에는, ICP형의 체임버 71 내에, 도 1에 나타낸 기체재치 스테이지 10 가 구비되어 있다. 체임버 71 의 상판 71A 은 석영제이다. 또, 체임버 71 의 측벽의 외면에는 유도결합 코일 72 이 배치되어 있다. 참조번호 73은 타겟이다. 타겟 73 은 고주파전원 74 에 접속되어 있다. 더욱이는, 기체재치 스테이지 10 에는 고주파전원 75 에 접속되어 있다. 또, 모재 12 에 상당하는 온도조절쟈켓에는 세라믹스층 13 에 정전흡착력을 발휘시키기 위한 직류전원 76 이 접속되어 있다. 더욱이, 모재 12 내에 배설된 히터 14 는 전원 77 에 접속되어 있다. 또한, 배관 34A, 34B, 34C 이나 온도제어용 열매체공급장치 35, 형광화이버 온도계 36, 제어밸브 37, 제어장치(PID콘트롤러) 38 의 도시는 생략하였다. 또, 스퍼터장치 70 에는, 각종 프로세스가스를 도입하기 위한 배관이 구비되어 있지만, 이들 배관의 도시도 생략하였다.
스퍼터장치 70 를 이용한 스퍼터 처리방법을 이하에 도 11 및 도 12를 참조하여 설명한다.
우선, 실리콘 반도체기판 40 상에 형성된 SiO2로 이루어지는 기초절연층 81상에, 알루미늄계 합금으로 이루어지는 배선 82 을, 공지의 스퍼터법 및 리소그래피기술 및 에칭기술에 따라 형성한다. 이어서, 전면에 SiO2로 이루어지는 층간절연막 83 을 공지의 방법으로 형성한다. 그리고나서, 리소그래피기술 및 드라이 에칭기술에 의해 배선 82 의 상방의 층간절연막 83 에 개구부 84 를 설치한다. 이 상태를, 도 11의 (A)의 모식적인 일부단면도에 나타낸다. 또한, 실시의 형태 7에서는, 배선 82 이 기체에 상당한다.
그리고, 관련한 실리콘 반도체기판을 도 10에 나타낸 스퍼터장치 70 의 기체재치 스테이지 10 상에 재치하고, 세라믹스층 13 에 정전흡착력을 발휘시켜 실리콘 반도체기판 40 을 기체재치 스테이지 10 상에 유지, 고정한다. 다음으로, 소프트 에칭처리의 조건온도인 500℃로 기체재치 스테이지 10 를 가열조정하여, 기체를 500℃로 유지한다.
그리고, 이하의 표 5에 예시하는 조건으로 소프트 에칭처리를 행하고, 개구부 84 의 저부에 노출된 알루미늄계 합금으로 이루어지는 배선 82 의 표면에 형성된 자연산화막(도시생략)을 제거한다.
사용가스 Ar=200sccm
압력 1.3Pa(10mTorr)
소스 파워 1500W
RF바이어스 100W
실리콘 반도체기판 온도 500℃
기체를 고온가열조건으로 유지한 상태에서의 소프트 에칭처리이지만 특히 배선 82 의 표면의 자연산화막이 제거될 뿐만아니라, 층간절연막 83 중에 함유된 수분이 베이크아웃된다.
이와 같이 하여 전처리를 실시한 후, Ti층, TiN층, 알루미늄계 합금으로 이루어지는 금속배선재료층 85 을 스퍼터법으로 성막한다. 이 상태를 도 11의 (B)의 모식적인 일부단면도를 나타내지만, Ti층 및 TiN층의 도시는 생략하였다. 또한, 개구부 84 의 상방에 형성된 금속배선재료층 85 의 형상은, 브릿지형상인 것이 바람직하다. 즉, 개구부 84 의 저부에는 보이드가 남고 또 개구부 84 의 상방은 금속배선재료층 85 에 의해 막혀 있는 것이 바람직하다. 금속배선재료층 85 을 이와 같은 브릿지형상으로 함에 따라, 고압불활성가스의 압력에 의해 개구부 84 의 상방 및 그 근방의 금속배선재료가 개구부 84 내에 밀어넣어진다. 구체적으로는, 기체를 300 내지 500℃, 바람직하게는 400 내지 500℃, 보다 바람직하게는 440 내지 500℃로 가열한 상태에서, 스퍼터장치 70 내의 분위기를 약 106Pa이상의 불활성가스 분위기로 한다. 이렇게하여, 도 12에 모식적인 일부단면도에 나타낸 바와 같이, 개구부 84 내에 보이드(void)가 남는 일 없이, 금속배선재료층 85 으로 채워진 접속홀(비어홀)을 형성할 수 있었다.
종래의 기술에서는, 고압 리플로우(reflow) 공정시에, 층간절연막 83 으로부터의 탈(脫)가스의 영향에 의해 개구부 84 내를 확실히 금속배선재료층 85 으로 채워넣는 것이 곤란해지며, 접속홀(비어홀)에는 보이드가 형성되어 버린다는 문제가 발생되고 있다. 그런데, 실시의 형태 7의 스퍼터장치 70 를 이용한 실시의 형태 7의 스퍼터 처리방법에서는, 소프트 에칭처리시에 층간절연막 83 중의 수분제거를 충분히 행할 수 있으므로, 매입불량이 없는 접속홀을 얻을 수 있다.
(실시의 형태 8)
실시의 형태 8도 실시의 형태 2의 변형이며, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 및 본 발명의 제1 양태에 의한 기체처리방법(단, 스퍼터처리)에 관한 것이다.
즉, 실시의 형태 8에서의 모재는, 실시의 형태 2와 마찬가지로, 질화알루미늄으로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 질화알루미늄으로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이는, 실시의 형태 8의 기체를 처리하기 위한 기체처리장치의 일부는, 질화알루미늄으로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 8의 기체처리장치에서는, 실시의 형태 2와 달리, 기체에 대하여 스퍼터처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 2와 동일한 구조를 가지는, 정전처크 기능을 가지며 또 온도제어수단을 구비한 기체재치 스테이지(보다 구체적으로는 웨이퍼 스테이지)이다.
실시의 형태 8에서의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법은, 실시의 형태 2와 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하는 대신에, 알루미늄 및 규소(예를 들면, Al80체적%­Si20체적%)로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화알루미늄(AlN)으로 구성하여도 좋다.
복합재료에 의해 구성되는 기체처리장치의 일부인 이와 같은 기체재치 스테이지 10A 를 구비한, 본 발명의 제1 양태에 의한 기체처리장치인 실시의 형태 8의 스퍼터장치는, 배관 15 및 이에 관련한 설비를 제외하고, 도 10에 개념도를 나타낸 실시의 형태 7에서 설명한 CVD장치와 실질적으로 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또, 실시의 형태 8에서의 기체처리장치를 이용한 본 발명의 제1 양태에 의한 기체처리방법(소프트 에칭처리를 포함하는 스퍼터처리)도, 실질적으로는 실시의 형태 7에서 설명한 기체처리방법과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 기체재치 스테이지 10A 의 온도제어는, 형광화이버 온도계 36 로 검지된 온도를 제어장치(PID콘트롤러) 38 로 검출하고, 히터 14A 로의 공급전력을 제어함으로써 행할 수 있다.
(실시의 형태 9)
실시의 형태 9는 실시의 형태 3의 변형이며, 본 발명의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 및 본 발명의 제1 양태에 의한 기체처리방법(단, 스퍼터처리)에 관한 것이다.
즉, 실시의 형태 9에서의 모재는, 실시의 형태 3과 마찬가지로, 탄화규소로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 탄화규소로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이는, 실시의 형태 9의 기체를 처리하기 위한 기체처리장치의 일부는, 탄화규소로 이루어지는 세라믹스부재의 조직중에, 알루미늄으로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 9의 기체처리장치에서는, 실시의 형태 3과 달리, 기체에 대하여 스퍼터처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 3과 동일한 구조를 가지는, 정전처크 기능을 가지며 또 온도제어수단을 구비한 기체재치 스테이지(보다 구체적으로는 웨이퍼 스테이지)이다.
실시의 형태 9에서의 복합재료 및 그 제조방법, 본 발명의 제1 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법은, 실시의 형태 3과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하는 대신에, 알루미늄 및 규소로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면, Al80체적%­Si20체적%)로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화알루미늄(AlN)으로 구성하여도 좋다.
복합재료에 의해 구성되는 기체처리장치의 일부인 이와 같은 기체재치 스테이지 10B 를 구비한, 본 발명의 제1 양태에 의한 기체처리장치인 실시의 형태 9의 스퍼터장치는, 배관 15 및 이에 관련한 설비를 제외하고, 도 10에 개념도를 나타낸 실시의 형태 7에서 설명한 CVD장치와 실질적으로 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또, 실시의 형태 9에서의 기체처리장치를 이용한 본 발명의 제1 양태에 의한 기체처리방법(소프트 에칭처리를 포함하는 스퍼터처리)도, 실질적으로는 실시의 형태 7에서 설명한 기체처리방법과 동일하게 할 수 있으므로, 상세한 설명은 생략한다. 또한, 기체재치 스테이지 10B 의 온도제어는, 형광화이버 온도계 36 로 검지된 온도를 제어장치(PID콘트롤러) 38 로 검출하고, 히터 14A 로의 공급전력을 제어함으로써 행할 수 있다.
(실시의 형태 10)
실시의 형태 10은 본 발명의 복합재료 및 그 제조방법, 본 발명의 제2 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 및 본 발명의 제2 양태에 의한 기체처리방법(플라즈마 에칭처리 및 플라즈마 CVD 처리)에 관한 것이다.
즉, 실시의 형태 10에서의 모재는, 실시의 형태 1과 마찬가지로, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 더욱이는, 실시의 형태 10의 기체를 처리하기 위한 기체처리장치의 일부는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 10의 기체처리장치에서는, 기체에 대하여 플라즈마 CVD 처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 실시의 형태 10에서는 기체처리장치의 측벽이다. 모재의 형상은 중공원통형이다.
복합재료에 의해 기체처리장치의 일부(측벽)가 구성된, 본 발명의 제2 양태에 의한 기체처리장치인 실시의 형태 10의 기체처리장치(드라이 에칭장치 20C 이며, 이하 간단히 에칭장치 20C 로 약칭한다)의 개념도를 도 13에 나타낸다. 또, 측벽의 모식적인 단면도를 도 14의 (A)에 나타낸다.
도 13에 나타낸 이 에칭장치 20C 는 ICP형의 드라이 에칭장치이며, 도 14의 (A)에 나타낸 바와 같이, 체임버 21 의 측벽 21A 이 복합재료 111 로 구성되어 있다. 그리고, RF안테너 23 는 체임버 21 의 상판 121 (석영제이다)상에 루프상으로 설치되어 있고, 매칭 네트워크 29 를 통하여 전원 30 에 접속되어 있다.
실시의 형태 10에서는, 실시의 형태 1과 마찬가지로, 모재 112 를 구성하는 세라믹스부재의 조성을 코디에라이트 세라믹스로 하였다. 또, 모재 112 를 구성하는 알루미늄계 재료의 조성은 알루미늄(Al) 및 규소(Si)이다. 실시의 형태 10에서는, 알루미늄계 재료를 기준으로 하여, 알루미늄계 재료에는 규소가 20체적% 포함되어 있다. 또한, 세라믹스부재는 코디에라이트 세라믹스분말과 코디에라이트 세라믹스섬유와의 혼합물의 소성체이며, 이 소성체에서의 코디에라이트 세라믹스섬유의 비율을 3체적%로 하였다. 여기에서, 코디에라이트 세라믹스분말의 평균입경은 10㎛이며, 코디에라이트 세라믹스섬유의 평균입경은 5㎛이며, 평균길이는 1㎜이다. 세라믹스부재의 공공율(空孔率)은 약 50%이며, 공공경(空孔徑)은 약 1 내지 2㎛이다. 따라서, 코디에라이트 세라믹스/알루미늄계 재료의 용적비가 약 1/1이다. 이와 같은 구성의 모재 112 의 선팽창율은, 100∼300℃에서의 평균치로, 약 10.6×10-6/K이다. 즉, α1=10.6이다. 또, 코디에라이트 세라믹스/알루미늄계 재료의 용적비는 약 1/1이지만 특히 모재 112 는 순수한 세라믹스의 전기전도도나 열전도도보다 금속에 가까운 값을 가진다. 따라서, 이와 같은 모재 112 로 제작된 측벽 21A 은, 세라믹스만으로 제작된 측벽보다 높은 열전도성을 가진다.
세라믹스층 113 을 구성하는 재료를, TiO2가 약 2.5중량% 첨가된 Al2O3로 하였다. 두께 약 0.2㎜의 세라믹스층 113 은, 용사법으로 모재 112 의 표면에 형성되어 있다. 이와 같은 조성의 세라믹스층 113 의 선팽창율은, 100∼300℃에서의 평균치로 약 9×10-6/K이다. 즉, α2는 약 9이며, 세라믹스층 113 의 선팽창율 α2 은 (α1­3)≤α2≤(α1+3)을 만족하고 있다. 또한, Al2O3 그 자체의 선팽창율은 약 8×10-6/K이다.
측벽 21A 의 내부에는, 공지의 시즈히터로 이루어지는 히터 114 가 배설되어 있다. 히터 114 는, 히터본체(도시생략)와, 히터본체의 외측에 배설되고 그리고 히터본체를 보호하는 초관(도시생략)으로 구성되어 있다. 그리고, 히터 114 는, 도시하지 않은 배선을 통하여 전원에 접속되어 있다. 히터 114 의 열팽창은 측벽 21A 에 영향을 준다. 따라서, 세라믹스층 113 이나 모재 112 의 선팽창율에 가까운 값을 가지는 재료를 이용하는 것이 바람직하다. 구체적으로는, 티탄이나 스테인리스 스틸 등, 선팽창율이 9×10-6/K∼12×10-6/K의 재료로 제작된 초관을 이용하는 것이 바람직하다. 즉, 히터 114 를 구성하는 재료(모재 112 와 접하는 초관의 재료)의 선팽창율 αH [단위:10-6/K]는, (α1­3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 또한, 히터 114 의 본체의 선팽창율은, 측벽 21A 에 영향을 주는 일이 없으므로, 특히 제한받지 않는다. 경우에 따라서는, 히터 114 를 배설하는 동시에, 실시의 형태 1 에서 설명한 배관 15 을 측벽 21A 의 내부에 배설하여도 좋으며, 히터 114 를 배설하는 대신에, 실시의 형태 1 에서 설명한 배관 15 을 측벽 21A 내부에 배설하여도 좋다.
복합재료 111 에 의해 구성되는 기체처리장치의 일부인 실시의 형태 10에서의 측벽 21A 의 제작방법을 예로 들어, 이하에 설명한다. 복합재료 111 는, (A)세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 따라서 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과, (B)모재의 표면에 세라믹스층을 설치하는 공정으로 제작된다. 실시의 형태 10에서는, 이 공정 (A)는 용기(주형)중에 다공질의 코디에라이트 세라믹스를 조성으로 한 세라믹스부재를 배치하고, 용기(주형 )내에 용융한 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 흘려넣고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하는 공정으로 이루어진다.
실시의 형태 10에서도, 세라믹스부재인 다공질의 코디에라이트 세라믹스로서, 코디에라이트 세라막스분말과 코디에라이트 세라믹스섬유를 소결하여 얻어지는 소결체인 다공질의 환상의 화이버 보드를 이용하였다. 또한, 화이버 보드는 실시의 형태 1과 동일한 것을 사용하였다. 측벽 21A 을 제작하는 데는, 우선 소정의 형상(환상)으로 성형된 복수의 화이버 보드를 준비한다. 또한, 화이버 보드에는, 필요에 따라서 각종의 배관 등을 부착하기 위한 플랜지나 홀부를 설치해 둔다. 또, 히터 114 를 배설하기 위한 홈을 설치해 둔다. 그리고, 이들 환상의 화이버 보드를 용기(주형)내에 쌓아올린다. 또한, 환상의 화이버 보드와 환상의 화이버 보드 사이에는, 필요에 따라서 히터 114 를 배치한다. 그리고, 화이버 보드를 약 800℃로 예비가열해 두고, 이어서 용기(주형)내에 약 800℃로 가열하여 용융상태로 한 알루미늄계 재료(Al80체적%­Si20체적%)를 흘려넣는다. 그리고, 용기(주형)내에 약 1톤/㎠의 고압을 가하는 고압주조법을 실행한다. 그 결과, 다공질의 화이버 보드에는, 즉 세라믹스부재의 조직중에는 알루미늄계 재료가 충전된다. 그리고, 알루미늄계 재료를 냉각, 고화함으로써 모재 112 가 제작된다.
이어서, 중공원통형의 모재 112 의 내면을 연마한다. 그리고나서, 이 연마면에, Al2O3에 TiO2를 약 2.5중량% 혼합한 입경이 약 10㎛의 혼합분말을 진공용사법에 의해 용융상태로 세차게 불어, 고화시킨다. 이에 따라, 두께 약 0.2㎜의 세라믹스층 113 을 용사법으로 형성할 수 있다. 또한, 세라믹스층 113 의 형성전에, 용사기초층으로서 예를 들면 알루미늄을 약 5중량% 포함한 니켈(Ni­5중량%Al)을 용사해 두고, 이 용사기초층상에 세라믹스층 113 을 용사법으로 형성해도 좋다.
또한, 도 14의 (B)의 모식적인 단면도에 나타낸 바와 같이, 세라믹스층을 용사법이 아니라 납땜법에 의해 모재 112 의 표면에 설치하여도 좋다. 이 경우에는, 소결법으로 제작된 Al2O3제 세라믹스 환상부재로 이루어지는 세라믹스층 116 을, 예를 들면 약 600℃의 온도로 Al­Mg­Ge계의 납재 117 를 이용한 납땜법으로 모재 112 의 표면에 부착하면 좋다.
이와 같이 하여 얻어진 측벽 21A 에서는, 다공질의 코디에라이트 세라믹스·화이버 보드에 Al80체적%­Si20체적%의 알루미늄계 재료를 충전하여 얻어진 재료로 모재 112 가 구성되어 있고, 모재 112 의 선팽창율은 세라믹스층 113 의 선팽창율에 가까운 값으로 되어 있다. 따라서, 측벽 21A 의 가열, 냉각에 의한 모재 112 와 세라믹스층 113 의 신축정도는 거의 같다. 그러므로, 이들 재료간의 선팽창율의 차에 기인하여, 고온가열시나 고온으로부터 상온으로 측벽 21A 을 되돌아가게 했을 때에 세라믹스층 113 에 균열 등의 손상이 발생하는 것을 확실하게 회피할 수 있다. 또, 복합재료 111 는 뛰어난 열전도성을 가지므로, 히터 114 에 의해 측벽 21A 을 효율적으로 가열할 수 있다.
또한, 실시의 형태 4에서 설명한 기체처리장치인 플라즈마 CVD 장치(도 8 참조)에서, 측벽 61A 을, 알루미늄제 블록으로 제작하는 대신에, 복합재료 111 로 제작하면, 관련한 기체처리장치를 이용하여 본 발명의 제2 양태에 의한 기체처리방법(플라즈마 CVD 처리)을 실행할 수 있다.
실시의 형태 10 에서는, 히터 114 에 의해 측벽 21A 을 350℃까지 가열한 상태에서, 거기다가 실시의 형태 1에서 설명한 기체재치 스테이지 10 상에 실리콘 반도체기판 40 을 유지, 고정한 상태에서, 실시의 형태 1과 동일한 조건으로 Cu막 등의 에칭처리를 행하였지만, 세라믹스층 113 에 균열 등의 손상이 발생하는 일은 없었다. 또, 측벽 21A 을 350℃까지 가열하였으므로, 측벽 21A 의 표면에 프리커서가 퇴적되는 것을 방지할 수 있었다. 또한, 일반적으로는 측벽 21A 의 온도는 기체의 온도이상으로 하는 것이 측벽 21A 의 표면에 프리커서등이 퇴적하는 것을 방지하는 데 바람직하다.
또는 실시의 형태 10에서는, 히터 114 에 의해 측벽 21A 을 400℃까지 가열한 상태에서, 거기다가 실시의 형태 1에서 설명한 기체재치 스테이지 10 상에 실리콘 반도체기판 40 을 유지, 고정한 상태에서, 실시의 형태 4와 동일한 조건으로 CVD처리를 행하였지만, 세라믹스층 113 에 균열 등의 손상이 발생하는 일은 없었다. 또, 측벽 21A 을 400℃까지 가열하였으므로, 측벽 21A 의 표면에 퇴적물이 퇴적되는 것을 방지할 수 있었다.
또한, 실시의 형태 10에서는, 실시의 형태 10에서 설명한 기체재치 스테이지 10 를 구비한 기체처리장치를 사용하였지만, 경우에 따라서는 종래의 기체재치 스테이지 또는 실시의 형태 2나 실시의 형태 3에서 설명한 기체재치 스테이지 10A, 10B 를 구비한 기체처리장치의 측벽이나 상판을 본 발명의 복합재료로 구성하여도 좋다.
(실시의 형태 11)
실시의 형태 11은 실시의 형태 10의 변형이다. 실시의 형태 11이 실시의 형태 10과 상위한 점은, 복합재료에서의 모재를 구성하는 세라믹스부재의 조성을 질화알루미늄으로 하고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 한 점에 있다.
복합재료 111A 에 의해 구성되는 기체처리장치의 일부인 실시의 형태 11에서의 측벽 21A 의 모식적인 단면도를, 도 15의 (A)에 나타낸다. 이 측벽 21A 도 복합재료 111A 로 구성되어 있다. 이 복합재료 111A 는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재 112A 와, 이 모재 112A 의 표면에 설치된 세라믹스층 113A 으로 이루어진다. 모재 112A 의 형상은 중공원통형이다. 또, 실시의 형태 10과 달리, 모재 112A 의 외면에는 PBN히터로 이루어지는 히터 114A 가 부착되어 있다. 이에 따라, 모재 112A 를 상온으로부터 약 400℃까지의 범위내에서 온도제어하는 것이 가능해진다.
실시의 형태 11에서는, 모재 112A 를 구성하는 세라믹스부재의 조성을 질화 알루미늄(AlN)으로 하였다. 또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al)으로 하였다. (α1­3)≤α2≤(α1+3)을 만족하도록 질화 알루미늄과 알루미늄과의 용적비는 결정되어 있고, 구체적으로는 질화 알루미늄/알루미늄의 용적비는 70/30이다. 또한, 모재 112A 의 선팽창율은, 100∼300℃에서의 평균치로, 8.7×10-6/K이다. 즉, α1=8.7 이다. 세라믹스층 113A 을 구성하는 재료를, TiO2가 약 1.5중량% 첨가된 Al2O3로 하였다. 세라믹스층 113A 은, 용사법으로 모재 112A 의 표면에 형성되어 있다. Al2O3의 선팽창율은 약 8×10-6/K이지만, Al2O3에 TiO2를 첨가함으로써, 그 선팽창율은, 100∼300℃에서의 평균치로, 약 8×10-6/K∼9×10-6/K(α2=약 8∼9)가 되고, 모재 112A 의 선팽창율 α1 과 거의 같은 값이 된다. 이에 따라, 모재 112A 의 고온가열 등에 의한 온도변화에 의해서 세라믹스층 113A 에 균열 등의 손상이 발생하는 것을 효과적으로 방지할 수 있다.
복합재료 111A 에 의해 구성되는 기체처리장치의 일부인 측벽 21A 의 제작방법을 예로 들어 이하에 설명한다. 복합재료 111A 는, 기본적으로는 실시의 형태 10과 마찬가지로, (A)세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 따라서 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과, (B)모재의 표면에 세라믹스층을 설치하는 공정으로 제작된다. 실시의 형태 11에서는, 이 공정 (A)는 비가압 금속침투법에 따라, 질화 알루미늄입자로 성형된 세라믹스부재에 용융한 알루미늄을 조성으로 한 알루미늄계 재료를 비가압상태로 침투시키는 공정으로 이루어진다.
구체적으로는, 평균입경 10㎛의 AlN입자를 이장성형법으로 성형한 후, 약 800℃의 온도로 소성을 행함으로써, AlN입자를 성형한 프리폼인 세라믹스부재를 제작한다. 또한, 세라믹스부재에는, 필요에 따라 각종의 배관 등을 부착하기 위한 플랜지나 홀부를 설치해 둔다. 그리고, 이 중공원통형의 세라믹스부재를 약 800℃로 예비가열해 두고, 약 800℃로 가열하여 용융한 알루미늄을 비가압으로 세라믹스부재에 침투시킨다. 이에 따라, AlN70체적%­Al30체적%의 구성의 모재 112A 를 제작할 수 있다. 이어서, 모재 112A 를 성형가공하여, 예를 들면 중공원통형의 측벽 21A 의 형상으로 한다. 이어서, 이와 같이 하여 얻어진 모재 112A 의 내면을 연마한다. 그리고나서, 이 연마면에 Al2O3에 TiO2를 약 1.5중량% 혼합한 입경이 약 10㎛의 혼합분말을 진공용사법에 의해 용융상태로 세차게 불어, 고화시킨다. 이에 따라, 두께 약 0.2㎜의 세라믹스층 113A 을 형성할 수 있다. 그리고나서, 모재 112A 의 외면에 PBN히터로 이루어지는 히터 114A 를 부착하여, 측벽 21A 을 얻는다. 또한, 세라믹스층 113A 의 형성전에, 용사기초층으로서 예를 들면 알루미늄을 약 5중량% 포함한 니켈(Ni­5중량%Al)을 용사해 두고, 이 용사기초층상에 세라믹스층 113A 을 용사법으로 형성해도 좋다.
이와 같이 하여 제작된 측벽 21A 에 있어서는, 세라믹스층 113A 의 선팽창율 α2 이 모재 112A의 선팽창율 α1 과 거의 같은 값으로 되어 있다. 그러므로, 모재 112A의 고온가열 등에 의한 온도변화에 의해서도, 세라믹스층 113A 에 균열 등의 손상은 발생하지 않는다. 또, 실시의 형태 11의 복합재료의 제조방법, 기체처리장치의 제작방법, 기체재치 스테이지의 제작방법에 있어서는, 질화 알루미늄과 알루미늄과의 용적비를 조정함으로써, 필요에 따라서 Al2O3로 이루어지는 세라믹스층 113A 에서의 TiO2의 첨가율을 더욱 조정함으로써, 모재 112A 의 선팽창율 α1 과 세라믹스층 113A 의 선팽창율 α2 을, (α1­3)≤α2≤(α1+3)을 만족하는 관계로 함으로써, 측벽 21A 의 온도변화에 기인한 세라믹스층 113A 의 균열 등의 손상발생을 효과적으로 방지할 수 있다.
또한, 도 15의 (B)의 모식적인 단면도에 나타낸 바와 같이, 세라믹스층을 용 사법이 아니라 납땜법에 의해 모재 112A 의 표면에 설치해도 좋다. 이 경우에는, 소결법으로 제작된 Al2O3제 세라믹스 환상부재로 이루어지는 세라믹스층 116A 을, 예를 들면 약 600℃의 온도에서 Al­Mg­Ge계의 납재 117A 를 이용한 납땜법으로 모재의 표면에 부착하면 좋다.
복합재료 111A 에 의해 구성되는 기체처리장치의 일부인 측벽 21A 을 구비한, 본 발명의 제2 양태에 의한 기체처리장치인 실시의 형태 11의 드라이 에칭장치는, 배관 15 및 이에 관련한 설비를 제외하고, 도 13에 개념도를 나타낸 실시의 형태 10에서 설명한 드라이 에칭장치와 실질적으로 동일하게 할 수 있으므로, 상세한 설명은 생략한다.
또한, 실시의 형태 4에서 설명한 기체처리장치인 플라즈마 CVD 장치(도 8 참조)에서, 측벽 61A 을, 알루미늄제 블록으로 제작하는 대신에, 복합재료 111A 로 제작하면, 관련한 기체처리장치를 이용하여 본 발명의 제2 양태에 의한 기체처리방법(플라즈마 CVD 처리)을 실행할 수 있다.
실시의 형태 11 에서는, 히터 114A 에 의해 측벽 21A 을 400℃까지 가열한 상태에서, 거기다가 실시의 형태 2에서 설명한 기체재치 스테이지 10A 상에 실리콘 반도체기판 40 을 유지, 고정한 상태에서, 실시의 형태 1과 동일한 조건으로 Cu막 등의 에칭처리를 행하였지만, 세라믹스층 113A 에 균열 등의 손상이 발생하는 일은 없었다. 또, 측벽 21A 을 400℃까지 가열하였으므로, 측벽 21A 의 표면에 프리커서가 퇴적되는 것을 방지할 수 있었다.
또는 실시의 형태 11에서는, 히터 114A 에 의해 측벽 21A 을 500℃까지 가열한 상태에서, 거기다가 실시의 형태 2에서 설명한 기체재치 스테이지 10A 상에 실리콘 반도체기판 40 을 유지, 고정한 상태에서, 실시의 형태 4와 동일한 조건으로 CVD처리를 행하였지만, 세라믹스층 113A 에 균열 등의 손상이 발생하는 일은 없었다. 또, 측벽 21A 을 500℃까지 가열하였으므로, 측벽 21A 의 표면에 퇴적물이 퇴적되는 것을 방지할 수 있었다.
또한, 실시의 형태 11에서는, 실시의 형태 2에서 설명한 기체재치 스테이지 10 를 구비한 기체처리장치를 사용하였지만, 경우에 따라서는 종래의 기체재치 스테이지 또는 실시의 형태 1이나 실시의 형태 3에서 설명한 기체재치 스테이지 10, 10B 를 구비한 기체처리장치의 측벽이나 상판을 본 발명의 복합재료로 구성하여도 좋다. 또, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하는 대신에, 알루미늄 및 규소로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면, Al80체적%­Si20체적%)로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화 알루미늄(AlN)으로 구성하여도 좋다.
(실시의 형태 12)
실시의 형태 12도 실시의 형태 10의 변형이다. 실시의 형태 12가 실시의 형태 10과 상위한 점은, 복합재료에서의 모재를 구성하는 세라믹스부재의 조성을 탄화규소로 하고, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 한 점에 있다.
복합재료에 의해 구성되는 기체처리장치의 일부인 실시의 형태 12에서의 측벽의 모식적인 단면도는, 도 15의 (A) 또는 도 15의 (B)에 나타낸 것과 동일한 구조를 가진다.
실시의 형태 12에서는, 모재 112A 를 구성하는 세라믹스부재의 조성을 탄화규소(SiC)로 하였다. 또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄(Al)으로 하였다. (α1­3)≤α2≤(α1+3)을 만족하도록 탄화규소와 알루미늄과의 용적비는 결정되어 있고, 구체적으로는 탄화규소/알루미늄의 용적비는 70/30이다. 또한, 모재 112A 의 선팽창율은, 100∼300℃에서의 평균치로, 6.2×10-6/K이다. 즉, α1=6.2이다. 세라믹스층 113A 을 구성하는 재료를 Al2O3로 하였다. 세라믹스층 113A 은, 용사법으로 모재 112A 의 표면에 형성되어 있다. Al2O3의 선팽창율은, 100∼300℃에서의 평균치로, 약 8×10-6/K(α2=약 8)이다. 따라서, 모재 112A 의 선팽창율 α1 에 가까운 값이며, 모재 112A 의 고온가열 등에 의한 온도변화에 의해 세라믹스층 113A 에 균열 등의 손상이 발생하는 것을 효과적으로 방지할 수 있다.
복합재료 111A 에 의해 구성되는 기체처리장치의 일부인 측벽 21A 의 제작방법은 실시의 형태 10 에서 설명한 고온주조법 또는 실시의 형태 11에서 설명한 비가압 금속침투법에 따라 제작할 수 있으므로, 상세한 설명은 생략한다. 또한, 평균입경 15㎛의 SiC입자와 평균입경 60㎛의 SiC입자를 용적비 1:4로 혼합한 것을 이장성형법으로 성형한 후, 약 800℃의 온도로 소성을 행함으로써, SiC입자를 성형한 프리폼인 세라믹스부재를 제작한다. 또한, 세라믹스부재에는 필요에 따라 각종의 배관 등을 부착하기 위한 플랜지나 홀부를 설치해 둔다. 그리고, 이 중공원통형의 세라믹스부재를 약 800℃로 예비가열해 두고, 약 800℃로 가열하여 용융한 알루미늄을 세라믹스부재에 침투시킨다. 이에 따라, SiC70체적%­Al30체적%의 구성의 모재 112A 를 제작할 수 있다. 또는, 소정의 형상으로 성형된 SiC로 이루어지는 프리폼을 준비하고, 이 프리폼으로 이루어지는 세라믹스부재를 약 800℃로 예비가열하고, 이어서 용기(주형)내에 약 800℃로 가열하여 용융상태로 한 알루미늄을 흘려넣고, 용기(주형)내에 약 1톤/㎠의 고압을 가하는 고압주조법을 실행함으로써, 측벽 21A 을 제작할 수도 있다.
또한, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄으로 하였지만, 그 대신에, 모재를 구성하는 알루미늄계 재료의 조성을 알루미늄 및 규소(예를 들면, Al80체적%­Si20체적%)로 할 수 있다. 알루미늄계 재료의 조성을 알루미늄 및 규소로 함으로써, 모재의 선팽창율을 α1 을 제어하는 것이 가능해지고, 세라믹스층의 선팽창율 α2 과의 차를 더욱 작게하는 것이 가능해진다. 또, 세라믹스층을 Al2O3로 구성하는 대신에, 질화 알루미늄(AlN)으로 구성하여도 좋다.
(실시의 형태 13)
실시의 형태 13은 본 발명의 복합재료 및 그 제조방법, 본 발명의 제3 양태에 의한 기체처리장치 및 그 제작방법, 기체재치 스테이지 및 그 제작방법 및 본 발명의 제3 양태에 의한 기체처리방법(플라즈마 에칭처리)에 관한 것이다.
즉, 실시의 형태 13에서의 모재는, 실시의 형태 1과 마찬가지로, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또는, 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 구성되어 있다. 또한, 실시의 형태 13의 기체를 처리하기 위한 기체처리장치의 일부는, 코디에라이트 세라믹스로 이루어지는 세라믹스부재의 조직중에, 알루미늄 및 규소로 이루어지는 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 설치된 Al2O3로 이루어지는 세라믹스층으로 이루어지는 복합재료로 구성되어 있다. 여기에서, 실시의 형태 13의 기체처리장치에서는, 기체에 대하여 플라즈마 에칭처리가 이루어진다. 또한, 복합재료에 의해 구성되는 기체처리장치의 일부는, 기체처리장치내에 배설된 평행평판의 상부 대향전극이다.
복합재료에 의해 기체처리장치의 일부(평행평판의 상부 대향전극)가 구성된, 본 발명의 제3 양태에 의한 기체처리장치인 실시의 형태 13의 기체처리장치(드라이 에칭장치 20D 이며, 이하 간단히 에칭장치 20D 로 약칭한다)의 개념도를 도 16에 나타낸다. 또, 상부 대향전극의 모식적인 단면도를 도 17의 (A)에 나타낸다.
이 에칭장치 20D 에서는, 하부전극에 상당하는 기체재치 스테이지 10 와 대향하여, 체임버 21 내의 상측으로 평행평판의 상부 대향전극 90 이 배치되어 있다. 이 상부 대향전극 90 은, RF전원 91 에 접속되어 있다. 또한, 체임버 21 의 측벽 21A 및 상판 21B 은, 실시의 형태 10∼실시의 형태 12와 마찬가지로, 복합재료 111, 111A 로 구성되어 있는 것이 바람직하다. 또한, 경우에 따라 기체재치 스테이지로서, 실시의 형태 1∼실시의 형태3에서 설명한 기체재치 스테이지 10, 10A, 10B 를 이용하여도 좋으며, 종래의 기체재치 스테이지(웨이퍼 스테이지)를 이용하여도 좋다.
실시의 형태 13에서는, 실시의 형태 1과 마찬가지로, 모재 212 를 구성하는 세라믹스부재의 조성을 코디에라이트 세라믹스로 하였다. 또, 모재를 구성하는 알루미늄계 재료의 조성은 알루미늄(Al) 및 규소(Si)이다. 실시의 형태 13에서는, 알루미늄계 재료를 기준으로 하여 알루미늄계 재료에는 규소가 20체적% 포함되어 있다. 또한, 세라믹스부재는 코디에라이트 세라믹스분말과 코디에라이트 세라믹스섬유의 혼합물의 소성체이며, 이 소성체에서의 코디에라이트 세라믹스섬유의 비율을 5체적%로 하였다. 여기에서, 코디에라이트 세라믹스분말의 평균입경은 10㎛이며, 코디에라이트 세라믹스섬유의 평균입경은 3㎛이며, 평균길이는 1㎜이다. 세라믹스부재의 공공율은 약 50%이며, 공공경은 약 1 내지 2㎛이다. 따라서, 코디에라이트 세라믹스/알루미늄계 재료의 용적비는 약 1/1이다. 이와 같은구성의 모재 212 의 선팽창율은, 100∼300℃에서의 평균치로, 약 10.6×10-6/K이다. 즉, α1=10.6이다. 또, 코디에라이트 세라믹스/알루미늄계 재료의 용적비가 약 1/1이지만 특히, 모재 212 는 순수한 세라믹스의 전기전도도나 열전도도보다 금속에 가까운 값을 가진다. 따라서, 이와 같은 모재 212 로 제작된 상부 대향전극 90 에는, 고주파도 문제없이 인가할 수 있다.
세라믹스층 213 을 구성하는 재료를, TiO2가 약 2.5중량% 첨가된 Al2O3로 하였다. 두께 약 0.2㎜의 세라믹스층 213 은, 용사법으로 모재 212 의 표면에 형성되어 있다. 이와 같은 조성의 세라믹스층 213 의 선팽창율은, 100∼300℃에서의 평균치로 약 9×10-6/K이다. 따라서, α2는 약 9이며, 세라믹스층 213 의 선팽창율 α2 은 (α1­3)≤α2≤(α1+3)을 만족하고 있다. 또한, Al2O3 그 자체의 선팽창율은 약 8×10-6/K이다.
상부 대향전극 90 의 내부에는, 공지의 시즈히터로 이루어지는 히터 214 가 배설되어 있다. 히터 214 는, 히터본체(도시생략)와, 히터본체의 외측에 배설되고 그리고 히터본체를 보호하는 초관(도시생략)으로 구성되어 있다. 그리고, 히터 214 는 도시하지 않은 배선을 통하여 전원 92 에 접속되어 있다. 히터 214 의 의 열팽창은 상부 대향전극 90 에 영햐을 준다. 따라서, 세라믹스층 213 이나 모재 212 의 선팽창율에 가까운 값을 가지는 재료를 이용하는 것이 바람직하다. 구체적으로는, 티탄이나 스테인리스 스틸 등, 선팽창율이 9×10-6/K∼12×10-6/K의 재료로 제작된 초관을 이용하는 것이 바람직하다. 즉, 히터 214 를 구성하는 재료(모재 212 와 접하는 초관의 재료)의 선팽창율 αH [단위:10-6/K]은, (α1­3)≤αH≤(α1+3)을 만족하는 것이 바람직하다. 또한, 히터 214 본체의 선팽창율은, 상부 대향전극 90 에 영향을 주는 일이 없으므로, 특히 제한받지 않는다.
복합재료에 의해 구성되는 기체처리장치의 일부인 실시의 형태 13에서의 상부 대향전극 90 의 제작방법을 이하에 설명한다. 복합재료 211 는, (A)세라믹스부재의 조직중에 알루미늄계 재료를 충전하고, 따라서 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재를 제작하는 공정과, (B)모재의 표면에 세라믹스층을 설치하는 공정으로 제작된다. 실시의 형태 13에서는, 이 공정 (A)는 용기(주형)중에 다공질의 코디에라이트 세라믹스를 조성으로 한 세라믹스부재를 배치하고, 용기(주형 )내에 용융한 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 흘려넣고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하는 공정으로 이루어진다.
실시의 형태 13에서도, 세라믹스부재인 다공질의 코디에라이트 세라믹스로서, 코디에라이트 세라믹스분체와 코디에라이트 세라믹스섬유를 소결하여 얻어지는 소결체인 다공질의 환상의 화이버 보드를 이용하였다. 또한, 화이버 보드는 실시의 형태 1과 동일한 것을 사용하였다. 상부 대향전극 90 을 제작하는 데는, 우선 소정의 형상(직방형)으로 성형된 제1의 화이버 보드를 준비한다. 이 제1의 화이버 보드에는, 히터 214 를 배설하기 위한 홈을 가공해 둔다. 또, 제1의 화이버 보드와는 별개의 제2의 화이버 보드를 준비해 둔다. 그리고, 용기(주형)의 저부에 제1의 화이버 보드를 배치하고, 제1의 화이버 보드에 설치된 홈내에 히터 214 를 더욱 배치한다. 다음으로, 제1의 화이버 보드상에 제2의 화이버 보드를 싣는다. 그리고, 화이버 보드를 약 800℃로 예비가열해 두고, 이어서 용기(주형)내에 약 800℃로 가열하여 용융상태로 한 알루미늄계 재료(Al80체적%­Si20체적%)를 흘려넣는다. 그리고, 용기(주형)내에 약 1톤/㎠의 고압을 가하는 고압주조법을 실행한다. 그 결과, 다공질의 화이버 보드에는, 즉 세라믹스부재의 조직중에는 알루미늄계 재료가 충전된다. 그리고, 알루미늄계 재료를 냉각, 고화함으로써 모재 212 가 제작된다.
이어서, 직방형의 모재 212 의 표면을 연마한다. 그리고나서, 이 연마면에, Al2O3에 TiO2를 약 2.5중량% 혼합한 입경이 약 10㎛의 혼합분말을 진공용사법에 의해 용융상태로 세차게 불어, 고화시킨다. 이에 따라, 두께 약 0.2㎜의 세라믹스층 213 을 용사법으로 형성할 수 있다. 또한, 세라믹스층 213 의 형성전에, 용사기초층으로서 예를 들면 알루미늄을 약 5중량% 포함한 니켈(Ni­5중량%Al)을 용사해 두고, 이 용사기초층상에 세라믹스층 213 을 용사법으로 형성해도 좋다.
또한, 도 17의 (B)의 모식적인 단면도에 나타낸 바와 같이, 세라믹스층을 용사법이 아니라 납땜법에 의해 모재 212 의 표면에 설치하여도 좋다. 이 경우에는, 소결법으로 제작된 Al2O3제 세라믹스판으로 이루어지는 세라믹스층 216 을, 예를 들면 약 600℃의 온도로 Al­Mg­Ge계의 납재 217 를 이용한 납땜법으로 모재 212 의 표면에 부착하면 좋다.
이와 같이 하여 얻어진 상부 대향전극 90 에 있어서는, 다공질의 코디에라이트 세라믹스·화이버 보드에 Al80체적%­Si20체적%의 알루미늄계 재료를 충전하여 얻어진 재료로 모재 212 가 구성되어 있고, 모재 212 의 선팽창율은 세라믹스층 213 의 선팽창율에 가까운 값으로 되어 있다. 따라서, 상부 대향전극 90 의 가열, 냉각에 의한 모재 212 와 세라믹스층 213 의 신축정도는 거의 같다. 그러므로, 이들 재료간의 선팽창율의 차에 기인하여, 고온가열시나 고온으로부터 상온으로 상부 대향전극 90 을 되돌아가게 했을 때에 세라믹스층 213 에 균열 등의 손상이 발생하는 것을 확실하게 회피할 수 있다. 또, 복합재료 211 는 뛰어난 열전도성을 가지므로, 히터 214 에 의해 상부 대향전극 90 을 효율적으로 가열할 수 있다.
또한, 실시의 형태 13에서는, 실리콘 반도체기판상에 형성된 SiO2로 이루어지는 기초절연층에 홈 배선용의 홈을 형성하였다. 기초절연층의 드라이 에칭조건을, 이하의 표 6에 예시하는 조건으로 하였다. 히터 214 및 히터 114 에 의해 상부 대향전극 90 및 측벽 21A, 상판 21B 의 온도를 400℃로 하였다.
에칭가스 C4F8/CF4/CO/Ar/O2=10/2/50/150/2sccm
압력 3Pa
전원 90 으로부터의 파워 1500W(24MHz)
전원 32 으로부터의 파워 800W( 2MHz)
실리콘 반도체기판 온도 50℃
상부 대향전극의 온도 400℃
측벽 및 상판의 온도 400℃
이와 같은 에칭처리에 의해서도, 상부 대향전극 90 및 측벽 21A, 상판 21B 을 구성하는 세라믹스층 113, 213 에 균열 등의 손상이 발생하는 일은 없었다. 또, 종래의 에칭장치에서는, 방전시에 생성한 플루오르 카본 폴리머의 프리커서가, 상부 대향전극이나 체임버의 측벽에 퇴적하는 것에 기인하여, 에칭처리중에 플라즈마중의 탄소/불소비가 변동되어 버린다. 그런데, 실시의 형태 13에서는, 상부 대향전극 90 및 측벽 21A, 상판 21B 을 고온으로 가열, 유지할 수 있으므로, 프리커서가 상부 대향전극이나 체임버의 측벽, 상판에 퇴적하는 것을 효과적으로 방지할 수 있다. 그 결과, 에칭처리중에 플라즈마중의 탄소/불소비가 변동되어 버리는 것을 억제할 수 있고, 높은 정밀도로 안정된 드라이 에칭처리를 행할 수 있다. 게다가, 상부 대향전극이나 체임버의 측벽, 상판에 플루오르 카본 폴리머가 퇴적하는 일이 거의 없으므로, 에칭처리 회수를 되풀이해도, 파티클 레벨이 악화되는 일도 없다.
또한, 상부 대향전극은, 실시의 형태 2에서 설명한 복합재료의 제조방법에 따라, 모재를 구성하는 세라믹스부재의 조성이 질화알루미늄이고, 모재를 구성하는 알루미늄계 재료의 조성이 알루미늄 또는 알루미늄과 규소이며, 세라믹스층을 구성하는 재료가 Al2O3 또는 AlN인 복합재료로 제작할 수도 있다.
또는, 상부 대향전극은, 실시의 형태 3에서 설명한 복합재료의 제조방법에 따라, 모재를 구성하는 세라믹스부재의 조성이 탄화규소이고, 모재를 구성하는 알루미늄계 재료의 조성이 알루미늄 또는 알루미늄과 규소이며, 세라믹스층을 구성하는 재료가 Al2O3 또는 AlN인 복합재료로 제작할 수도 있다.
이상, 본 발명을, 발명의 실시의 형태에 따라 설명하였지만, 본 발명은 이들에 한정되는 것이 아니다. 발명의 실시의 형태 1에서는, 모재중에 히터를 매설하였지만, 세라믹스층이 설치된 측과는 반대측의 모재 면에 히터를 부착하여도 좋다. 또, 발명의 실시의 형태 2나 발명의 실시의 형태 3에서 설명한 모재중에 히터나 배관을 매설하여도 좋다. 발명의 실시의 형태에서 설명한, 드라이 에칭장치, 플라즈마 CVD 장치, 스퍼터장치의 구조는 예시한 것이며, 적당하게 설계변경할 수 있다. 또, 발명의 실시의 형태에서 설명한 각종의 가공조건도 예시한 것이며, 적당하게 변경할 수 있다. 또한, 복합재료의 구성, 코디에라이트 세라믹스·화이버 보드의 물성도 예시한 것이며, 적당하게 변경할 수 있다.
각 발명의 실시의 형태에서는, 오로지 모재와 세라믹스층으로 복합재료에 의해 기체재치 스테이지, 기체처리장치의 측벽 또는 상부 대향전극을 구성하였지만, 이와 같은 구성에 한정되는 것은 아니다. 즉, 복합재료와 금속재료 또는 금속화합물재료와의 조합에 따라, 기체재치 스테이지, 기체처리장치의 측벽 또는 상부 대향전극을 제작할 수도 있다.
도 18∼도 19에는, 알루미늄제의 원반형 부재 18 에 복합재료 11C 를 납땜법 또는 비스고정으로 고정하여 제작된 기체재치 스테이지 10C 의 모식적인 단면도를 나타낸다. 또한, 납재 또는 비스는 도 18∼도 23에는 도시하지 않았다. 도 18의 (A) 또는 도 19의 (A)에서는, 알루미늄제의 원반형 부재 18 의 내부에 배관 15C 이 배설되어 있다. 모재 12C 는 원반형 부재 18 의 상면 및 하면에 고정되어 있다. 원반형 부재 18 의 상면에 고정된 복합재료 11C 의 구조는, 실시의 형태 1∼실시의 형태 3에서 설명한 복합재료 11, 11A, 11B 와 동일한 구조를 가진다. 도 18의 (B) 또는 도 19의 (B)에서는, 알루미늄제의 원반형 부재 18 의 하면의 모재 12C 가 생략되어 있다. 도 18의 (C) 또는 도 19의 (C)에서는, 알루미늄제의 원반형 부재 18 의 하면에 PBN히터 14C 가 부착되어 있다. 그리고, 복합재료 11C 가 원반형 부재 18 의 상면에 고정되어 있다.
도 20∼도 22에는, 스테인리스 스틸제 또는 알루미늄제의 중공원통부재 18A 에 복합재료 111B 를 납땜법 또는 비스고정으로 고정하여 제작된 기체처리장치의 측벽의 모식적인 단면도를 나타낸다. 도 20의 (A) 또는 (B)에서는, 중공원통부재 18A 의 내부에 히터 114 (배관이라도 됨)가 배설되어 있다. 모재 112 는 중공원통부재 18A 의 내면 및 외면에 고정되어 있다. 중공원통부재 18A 의 내면(체임버 21 측)에 고정된 복합재료 111B 의 구조는, 실시의 형태 10∼실시의 형태 12에서 설명한 복합재료 111, 111A 와 동일한 구조를 가진다. 도 21의 (A) 또는 (B)에서는, 중공원통부재 18A 의 외면의 모재 112 가 생략되어 있다. 도 22의 (A) 또는 (B)에서는, 중공원통부재 18A 의 외면에 PBN히터 114C 가 부착되어 있다. 그리고, 복합재료 111B 가 중공원통부재 18A 의 내면에 고정되어 있다.
도 23에는, 스테인리스 스틸제 또는 알루미늄제의 원반형 부재 18B 에 복합재료 211A 를 납땜법 또는 비스고정으로 고정하여 제작된 상부 대향전극 90A 의 모식적인 단면도를 나타낸다. 원반형 부재 18B 의 내부에 히터 214 가 배설되어 있다.복합재료 211A 는 원반형 부재 18B의 상면 및 하면에 고정되어 있다. 이 복합재료 211A 의 구조는, 실시의 형태 13에서 설명한 복합재료 211 와 동일한 구조를 가진다. 도 23의 (C)에서는, 원반형 부재 18B 의 상면에는 복합부재가 생략되어 있다.
본 발명에서는, 복합재료를 모재와 세라믹스층으로 구성함으로써, 모재는 세라믹스부재와 알루미늄계 재료와의 중간적인 성질을 가지는 것이 되고, 예를 들면 선팽창율에 관해서도 이들의 중간적인 값으로 조정이 가능하게 된다. 그러므로, 모재와 세라믹스층과의 열팽창에 기인한 세라믹스층의 손상발생을 회피할 수 있고, 복합재료를 고온으로 확실하게 사용하는 것이 가능하게 된다. 그 결과, 예를 들면 측벽이나 상판, 상부 대향전극이라는 기체처리장치의 일부에, 퇴적물이 퇴적하는 것을 효과적으로 방지할 수 있다. 또한, 모재는 높은 열전도율을 가지고 있으므로, 예를 들면 기체를 효율적으로 가열하는 것이 가능하며, 예를 들면 온도제어수단에 의해 효율적으로 복합재료를 가열할 수 있다. 또, 종래의 기술에서는, 세라믹스층의 균열 등이 원인으로 행할 수 없었던 고온가열시의 고정밀도의 온도제어를 행할 수 있고, 이에 따라 에칭처리나 CVD처리, 스태퍼처리 등의 다기(多岐)에 걸친 반도체장치의 제조프로세스를 높은 정밀도로 안정되게 실행할 수 있다. 또, 예를 들면 300㎜정도의 대경의 기체재치 스테이지도 실현가능해지고, 이에 따라 장래의 웨이퍼의 대경화에도 충분히 대응이 가능하게 된다.
또한, 세라믹스층이 설치되어 있으므로, 금속오염의 발생방지나, 에를 들면 할로겐가스에 의한 복합재료의 부식발생을 효과적으로 방지할 수 있다.
도 1은 발명의 실시의 형태 1에서의 기체재치 스테이지의 모식적인 단면도이다.
도 2는 발명의 실시의 형태 1에서의 기체처리장치인 드라이 에칭장치의 개념도이다.
도 3은 발명의 실시의 형태 1에서의 기체처리방법(플라즈마 에칭처리방법)을 설명하기 위한 반도체기판 등의 모식적인 일부단면도이다.
도 4는 발명의 실시의 형태 2에서의 기체재치 스테이지의 모식적인 단면도이다.
도 5는 발명의 실시의 형태 2에서의 기체처리장치인 드라이 에칭장치의 개념도이다.
도 6은 발명의 실시의 형태 3에서의 기체재치 스테이지의 모식적인 단면도이다.
도 7은 발명의 실시의 형태 3에서의 기체처리장치인 드라이 에칭장치의 개념도이다.
도 8은 실시의 형태 4에서의 기체처리장치인 플라즈마 CVD 장치의 개념도이다.
도 9는 실시의 형태 4에서의 기체처리방법(플라즈마 CVD 처리)을 설명하기 위한 반도체기판 등의 모식적인 일부단면도이다.
도 10은 실시의 형태 7에서의 기체처리장치인 스퍼터장치의 개념도이다.
도 11은 실시의 형태 7에서의 기체처리방법(스퍼터 처리)을 설명하기 위한 반도체기판 등의 모식적인 일부단면도이다.
도 12는 도 11에 이어, 실시의 형태 7에서의 기체처리방법(스퍼터 처리)을 설명하기 위한 반도체기판 등의 모식적인 일부단면도이다.
도 13은 발명의 실시의 형태 10에서의 기체처리장치인 드라이 에칭장치의 개념도이다.
도 14는 발명의 실시의 형태 10에서의 기체처리장치인 드라이 에칭장치의 측벽의 모식적인 단면도이다.
도 15는 발명의 실시의 형태 11에서의 기체처리장치인 드라이 에칭장치의 측벽의 모식적인 단면도이다.
도 16은 발명의 실시의 형태 13에서의 기체처리장치인 드라이 에칭장치의 개념도이다.
도 17은 발명의 실시의 형태 13에서의 기체처리장치인 드라이 에칭장치의 평행평 판의 상부 대향전극의 모식적인 단면도이다.
도 18은 본 발명에서의 기체재치 스테이지의 변형의 모식적인 단면도이다.
도 19는 본 발명에서의 기체재치 스테이지의 변형의 모식적인 단면도이다.
도 20은 본 발명에서의 기체처리장치인 드라이 에칭장치의 측벽의 변형의 모식적인 단면도이다.
도 21은 본 발명에서의 기체처리장치인 드라이 에칭장치의 측벽의 변형의 모식적인 단면도이다.
도 22는 본 발명에서의 기체처리장치인 드라이 에칭장치의 측벽의 변형의 모식적인 단면도이다.
도 23은 본 발명에서의 기체처리장치인 드라이 에칭장치의 평행평판의 상부 대향전극의 변형의 모식적인 단면도이다.
〈도면의 주요부분에 대한 부호의 설명〉
10, 10A, 10B, 10C:기체재치 스테이지, 11, 11A, 11B, 11C, 111, 111A, 111B, 211, 211A:복합재료, 12, 12A, 12B, 12C, 112, 112A, 212:모재, 13, 13A, 13B, 13C, 16, 16A, 16B, 16C, 113, 113A, 116, 116A, 213, 216:세라믹스층, 14, 14A, 14B, 14C, 114, 114A, 214:히터, 15, 15C:배관, 17, 17A, 17B, 17C, 117, 117A, 217:납재, 18, 18B:원반상 부재, 18A:중공원통부재, 20, 20A, 20B, 20C, 20D:드라이 에칭장치, 21:체임버, 21A:체임버의 측벽, 21B:체임버의 상판, 121:체임버의 상판, 22,23:RF안테나, 24:멀티폴자석, 25:벨루저, 26:솔레노이드코일·어셈블리, 27, 29:매칭 네트워크, 28:헤리콘파 플라즈마 발생원, 30:전원, 31:배기구, 32:바이어스전원, 33:직류전원, 34A, 34B, 34C:배관, 35:온도제어용 열매체 공급장치, 36:형광화이버 온도계, 37:제어밸브, 38:제어장치(PID콘트롤러), 39:전원, 40:실리콘 반도체기판, 41:기초절연층, 42, 44:TiN막, 43:Cu막, 45:마스크패턴, 46:기초절연층, 47:배선, 48, 48A:층간절연막, 51:체임버, 52:상판, 53:히터, 54:유도결합코일, 55:바이어스전원, 56:직류전원, 57:전원, 58:배기구, 60:바이어스 ECR CVD 장치, 61:체임버, 61A:측벽, 61B:창, 62:마이크로파 발생수단, 63:히터, 64:솔레노이드코일, 65:펌프, 66:RF바이어스전원, 67:직류전원, 68:전원, 70:스퍼터장치, 71:체임버, 71A:상판, 72:유도결합코일, 73:타겟, 74, 75:고주파전원, 76:직류전원, 77:전원, 81:기초절연층, 82:배선, 83:층간절연막, 84:개구부, 85:금속배선재료층, 90, 90A:상부 대향전극, 91,92:전원

Claims (144)

  1. 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재(母材)와, 이 모재의 표면에 배설된 세라믹스층으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1〔단위 : 10-6/K〕로 했을 때, 상기 세라믹스층의 선팽창율 α2〔단위 : 10-6/K〕는 (α1-3)≤α2≤(α1+3)을 만족하고,
    상기 모재를 구성하는 상기 세라믹스부재의 조성(組成)은 코디에라이트세라믹스이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3인 것을 특징으로 하는 복합재료.
  2. 청구항 1에 있어서,
    1-3)≤α2≤(α1+3)을 만족하도록, 상기 코디에라이트세라믹스와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료.
  3. 청구항 1에 있어서,
    상기 코디에라이트세라믹스/알루미늄계 재료의 용적비는 25/75 내지 75/25인 것을 특징으로 하는 복합재료.
  4. 청구항 1에 있어서,
    상기 알루미늄계 재료에는 규소가 12 내지 35체적(體積)% 포함되어 있는 것을 특징으로 하는 복합재료.
  5. 청구항 1에 있어서,
    상기 세라믹스부재는, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물의 소성체(燒成體)인 것을 특징으로 하는 복합재료.
  6. 청구항 5에 있어서, 상기 소성체에 있어서의 상기 코디에라이트세라믹스섬유의 비율은 1 내지 20체적%인 것을 특징으로 하는 복합재료.
  7. 청구항 5에 있어서, 상기 코디에라이트세라믹스분말의 평균입경(粒徑)은 1 내지 100㎛이고, 상기 코디에라이트세라믹스섬유의 평균직경은 2 내지 10㎛이고, 평균길이는 0.1 내지 10mm인 것을 특징으로 하는 복합재료.
  8. 청구항 5에 있어서, 상기 세라믹스부재의 공공율(空孔率)은 25 내지 75%인 것을 특징으로 하는 복합재료.
  9. 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재(母材)와, 이 모재의 표면에 배설된 세라믹스층으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하도록 되며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 질화(窒化)알루미늄이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 복합재료.
  10. 청구항 9에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 질화알루미늄과 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료.
  11. 청구항 9에 있어서, 상기 질화알루미늄/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료.
  12. 청구항 9에 있어서, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료.
  13. 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재(母材)와, 이 모재의 표면에 배설된 세라믹스층으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하도록 되며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 탄화규소이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 복합재료.
  14. 청구항 13에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 탄화규소와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료.
  15. 청구항 13에 있어서, 상기 탄화규소/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료.
  16. 청구항 13에 있어서, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료.
  17. 청구항 1, 9 또는 13 중 어느 한 항에 있어서, 상기 세라믹스층은, 용사법 (溶射法)으로 모재의 표면에 형성되어 있는 것을 특징으로 하는 복합재료.
  18. 청구항 1, 9 또는 13 중 어느 한 항에 있어서, 상기 세라믹스층은, 납땜법으로 모재의 표면에 부착되어 있는 것을 특징으로 하는 복합재료.
  19. (A) 용기안에 다공질(多孔質)의 코디에라이트세라믹스를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법(高壓鑄造法)으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  20. 청구항 19에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 코디에라이트세라믹스와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료의 제조방법.
  21. 청구항 19에 있어서, 상기 코디에라이트세라믹스/알루미늄계 재료의 용적비는 25/75 내지 75/25인 것을 특징으로 하는 복합재료의 제조방법.
  22. 청구항 19에 있어서, 상기 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료의 제조방법.
  23. 청구항 19에 있어서, 상기 세라믹스부재는, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물을 소성함으로써 제작되는 것을 특징으로 하는 복합재료의 제조방법.
  24. 청구항 23에 있어서, 상기 혼합물에서의 상기 코디에라이트세라믹스섬유의 비율이 1 내지 20체적%인 것을 특징으로 하는 복합재료의 제조방법.
  25. 청구항 23에 있어서, 상기 코디에라이트세라믹스분말의 평균입경은 1 내지 100㎛이고, 상기 코디에라이트세라믹스섬유의 평균직경은 2 내지 10㎛이고, 평균길이는 0.1 내지 10mm인 것을 특징으로 하는 복합재료의 제조방법.
  26. 청구항 23에 있어서, 상기 코디에라이트세라믹스분말과 상기 코디에라이트세라믹스섬유와의 혼합물을 800 내지 1200˚C로 소성하는 것을 특징으로 하는 복합재료의 제조방법.
  27. 청구항 23에 있어서, 상기 세라믹스부재의 공공율은 25 내지 75%인 것을 특징으로 하는 복합재료의 제조방법.
  28. 청구항 19에 있어서, 상기 용기내에 용융한 상기 알루미늄계 재료를 유입할 때의 상기 세라믹스부재의 온도를 500 내지 1000˚C로 하고, 상기 고압주조법으로 상기 세라믹스부재중에 상기 알루미늄계 재료를 충전할 때에 가하는 절대압을 200 내지 1500kgf/㎠로 하는 것을 특징으로 하는 복합재료의 제조방법.
  29. (A) 비(非)가압금속침투법에 따라서, 질화알루미늄입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  30. 청구항 29에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 질화알루미늄입자와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료의 제조방법.
  31. 청구항 29에 있어서, 상기 질화알루미늄입자/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료의 제조방법.
  32. 청구항 29에 있어서, 상기 질화알루미늄입자의 평균입경은 10 내지 100㎛인 것을 특징으로 하는 복합재료의 제조방법.
  33. 청구항 29에 있어서, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료의 제조방법.
  34. (A) 비가압금속침투법에 따라서, 탄화규소입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  35. (A) 용기안에 탄화규소를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  36. 청구항 35에 있어서, 상기 용기내에 용융한 상기 알루미늄계 재료를 유입할 때의 상기 세라믹스부재의 온도를 500 내지 1000˚C로 하고, 상기 고압주조법으로 상기 세라믹스부재안에 상기 알루미늄계 재료를 충전할 때에 가하는 절대압을 200 내지 1500kgf/㎠로 하는 것을 특징으로 하는 복합재료의 제조방법.
  37. 청구항 34 또는 청구항 35에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 탄화규소와 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료의 제조방법.
  38. 청구항 34 또는 청구항 35에 있어서, 상기 탄화규소/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료의 제조방법.
  39. 청구항 34 또는 청구항 35에 있어서, 상기 탄화규소입자의 평균입경은 1 내지 100㎛인 것을 특징으로 하는 복합재료의 제조방법.
  40. 청구항 34 또는 청구항 35에 있어서, 상기 모재를 구성하는 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료의 제조방법.
  41. 청구항 19, 29, 34 또는 35 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    공정 (B)는, 상기 세라믹스층을 용사법으로 모재의 표면에 형성하는 공정으로 이루어지는 것을 특징으로 하는 복합재료의 제조방법.
  42. 청구항 19, 29, 34 또는 35 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    공정 (B)는, 상기 세라믹스층을 납땜법으로 모재의 표면에 부착하는 공정으로 이루어지는 것을 특징으로 하는 복합재료의 제조방법.
  43. 기체(基體)를 처리하기 위한 처리장치의 일부를 구성하는 복합재료로서,
    세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하고,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 코디에라이트세라믹스이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이며, 상기 세라믹스층을 구성하는 재료는 Al2O3인 것을 특징으로 하는 복합재료.
  44. 청구항 43에 있어서, 상기 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고,
    복합재료에 의해 구성되는 기체처리장치의 일부는, 정전(靜電)처크기능을 가지고, 또한 온도제어수단을 구비한 기체재치(載置)스테이지인 것을 특징으로 하는 복합재료.
  45. 청구항 43에 있어서, 상기 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 기체처리장치의 측벽 및/ 또는 상판인 것을 특징으로 하는 복합재료.
  46. 청구항 43에 있어서, 상기 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 평행평판의 상부대향전극인 것을 특징으로 하는 복합재료.
  47. 청구항 43에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 코디에라이트세라믹스와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료.
  48. 청구항 43에 있어서, 상기 코디에라이트세라믹스/알루미늄계 재료의 용적비는 25/75 내지 75/25인 것을 특징으로 하는 복합재료.
  49. 청구항 43에 있어서, 상기 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료.
  50. 청구항 43에 있어서, 상기 세라믹스부재는, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물의 소성체인 것을 특징으로 하는 복합재료.
  51. 청구항 50에 있어서, 상기 소성체에 있어서의 코디에라이트세라믹스섬유의 비율은 1 내지 20체적%인 것을 특징으로 하는 복합재료.
  52. 청구항 50에 있어서, 상기 코디에라이트세라믹스분말의 평균입경은 1 내지 100㎛이고, 상기 코디에라이트세라믹스섬유의 평균직경은 2 내지 10㎛이고, 평균길이는 0.1 내지 10mm인 것을 특징으로 하는 복합재료.
  53. 청구항 50에 있어서, 상기 세라믹스부재의 공공율은 25 내지 75%인 것을 특징으로 하는 복합재료.
  54. 기체(基體)를 처리하기 위한 처리장치의 일부를 구성하는 복합재료로서,
    세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하고,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 질화알루미늄이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 복합재료.
  55. 청구항 54에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 질화알루미늄과 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료.
  56. 청구항 54에 있어서, 상기 질화알루미늄/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료.
  57. 청구항 54에 있어서, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료.
  58. 기체(基體)를 처리하기 위한 처리장치의 일부를 구성하는 복합재료로서,
    세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하고,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 탄화규소이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 복합재료.
  59. 청구항 58에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 탄화규소와 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료.
  60. 청구항 58에 있어서, 상기 탄화규소/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료.
  61. 청구항 58에 있어서, 상기 모재를 구성하는 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료.
  62. 청구항 43, 54 또는 58 중 어느 한 항에 있어서, 상기 세라믹스층은 용사법으로 모재의 표면에 형성되어 있는 것을 특징으로 하는 복합재료.
  63. 청구항 43, 54 또는 58 중 어느 한 항에 있어서, 상기 세라믹스층은 납땜법으로 모재의 표면에 부착되어 있는 것을 특징으로 하는 복합재료.
  64. 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료의 제조방법으로서,
    (A) 용기안에 다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  65. 청구항 64에 있어서, 상기 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고,
    복합재료에 의해 구성되는 상기 기체처리장치의 일부는, 정전처크기능을 가지고, 또한 온도제어수단을 구비한 기체재치스테이지인 것을 특징으로 하는 복합재료의 제조방법.
  66. 청구항 64에 있어서, 상기 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고,
    복합재료에 의해 구성되는 상기 기체처리장치의 일부는, 기체처리장치의 측벽 및/ 또는 상판인 것을 특징으로 하는 복합재료의 제조방법.
  67. 청구항 64에 있어서, 상기 기체처리장치에 있어서는, 기체에 대하여 플라즈마에칭처리가 행해지고,
    복합재료에 의해 구성되는 상기 기체처리장치의 일부는, 평행평판의 상부대향전극인 것을 특징으로 하는 복합재료의 제조방법.
  68. 청구항 64에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 코디에라이트세라믹스와 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료의 제조방법.
  69. 청구항 64에 있어서, 상기 코디에라이트세라믹스/알루미늄계 재료의 용적비는 25/75 내지 75/25인 것을 특징으로 하는 복합재료의 제조방법.
  70. 청구항 64에 있어서, 상기 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료의 제조방법.
  71. 청구항 64에 있어서, 상기 세라믹스부재는, 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물을 소성함으로써 제작되는 것을 특징으로 하는 복합재료의 제조방법.
  72. 청구항 71에 있어서, 상기 혼합물에서의 상기 코디에라이트세라믹스섬유의 비율이 1 내지 20체적%인 것을 특징으로 하는 복합재료의 제조방법.
  73. 청구항 71에 있어서, 상기 코디에라이트세라믹스분말의 평균입경은 1 내지 100㎛이고, 상기 코디에라이트세라믹스섬유의 평균직경은 2 내지 10㎛이고, 평균길이는 0.1 내지 10mm인 것을 특징으로 하는 복합재료의 제조방법.
  74. 청구항 71에 있어서, 상기 코디에라이트세라믹스분말과 코디에라이트세라믹스섬유와의 혼합물을 800 내지 1200˚C로 소성하는 것을 특징으로 하는 복합재료의 제조방법.
  75. 청구항 71에 있어서, 상기 세라믹스부재의 공공율은 25 내지 75%인 것을 특징으로 하는 복합재료의 제조방법.
  76. 청구항 64에 있어서, 상기 용기내에 용융한 알루미늄계 재료를 유입할 때의 상기 세라믹스부재의 온도를 500 내지 1000˚C로 하고, 상기 고압주조법으로 상기 세라믹스부재중에 상기 알루미늄계 재료를 충전할 때에 가하는 절대압을 200 내지 1500kgf/㎠로 하는 것을 특징으로 하는 복합재료의 제조방법.
  77. 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료의 제조방법으로서,
    (A) 비가압금속침투법에 따라서, 질화알루미늄입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  78. 청구항 77에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 질화알루미늄입자와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료의 제조방법.
  79. 청구항 77에 있어서, 상기 질화알루미늄입자/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료의 제조방법.
  80. 청구항 77에 있어서, 상기 질화알루미늄입자의 평균입경은 10 내지 100㎛인 것을 특징으로 하는 복합재료의 제조방법.
  81. 청구항 77에 있어서, 상기 모재를 구성하는 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료의 제조방법.
  82. 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료의 제조방법으로서,
    (A) 비가압금속침투법에 따라서, 탄화규소입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  83. 기체를 처리하기 위한 처리장치의 일부를 구성하는 복합재료의 제조방법으로서,
    (A) 용기안에 탄화규소를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정으로 이루어지고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 복합재료의 제조방법.
  84. 청구항 83에 있어서, 상기 용기내에 용융한 알루미늄계 재료를 유입할 때의 상기 세라믹스부재의 온도를 500 내지 1000˚C로 하고, 상기 고압주조법으로 상기 세라믹스부재중에 상기 알루미늄계 재료를 충전할 때에 가하는 절대압을 200 내지 1500kgf/㎠로 하는 것을 특징으로 하는 복합재료의 제조방법.
  85. 청구항 82 또는 청구항 83에 있어서, (α1-3)≤α2≤(α1+3)을 만족하도록, 상기 탄화규소와 상기 알루미늄계 재료의 용적비를 결정하는 것을 특징으로 하는 복합재료의 제조방법.
  86. 청구항 82 또는 청구항 83에 있어서, 상기 탄화규소/알루미늄계 재료의 용적비는 40/60 내지 80/20인 것을 특징으로 하는 복합재료의 제조방법.
  87. 청구항 82 또는 청구항 83에 있어서, 상기 탄화규소입자의 평균입경은 1 내지 100㎛인 것을 특징으로 하는 복합재료의 제조방법.
  88. 청구항 82 또는 청구항 83에 있어서, 상기 모재를 구성하는 알루미늄계 재료의 조성은 알루미늄과 규소이고, 이 알루미늄계 재료에는 규소가 12 내지 35체적% 포함되어 있는 것을 특징으로 하는 복합재료의 제조방법.
  89. 청구항 64, 77, 82 또는 83 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    상기 공정 (B)는, 세라믹스층을 용사법으로 모재의 표면에 형성하는 공정으로 이루어지는 것을 특징으로 하는 복합재료의 제조방법.
  90. 청구항 64, 77, 82 또는 83 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    상기 공정 (B)는, 세라믹스층을 납땜법으로 모재의 표면에 부착하는 공정으로 이루어지는 것을 특징으로 하는 복합재료의 제조방법.
  91. 기체를 처리하기 위한 기체처리장치로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층으로 이루어지는 복합재료로 구성되고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 코디에라이트세라믹스이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3인 것을 특징으로 하는 기체처리장치.
  92. 기체를 처리하기 위한 기체처리장치로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층으로 이루어지는 복합재료로 구성되고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 질화알루미늄이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 기체처리장치.
  93. 기체를 처리하기 위한 기체처리장치로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층으로 이루어지는 복합재료로 구성되고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 탄화규소이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 기체처리장치.
  94. 청구항 91 내지 93 중 어느 한 항에 있어서,
    상기 기체처리장치에 있어서, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 정전처크기능을 가지고, 또한 온도제어수단을 구비한 기체재치스테이지인 것을 특징으로 하는 기체처리장치.
  95. 청구항 94에 있어서, 상기 기체재치스테이지를 전극으로서 사용하고, 상기 세라믹스층은 정전처크기능을 가지는 것을 특징으로 하는 기체처리장치.
  96. 청구항 94에 있어서, 상기 기체재치스테이지에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것을 특징으로 하는 기체처리장치.
  97. 청구항 96에 있어서, 상기 히터는 상기 모재의 내부에 배설되어 있고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 히터를 구성하는 재료의 선팽창율 αH [단위 : 10-6/K] 은 (α1-3)≤αH≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치.
  98. 청구항 96에 있어서, 상기 온도제어수단은 상기 모재의 내부에 배설된 온도제어용 열매체가 흐르는 배관으로 또한 구성되어 있고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 배관의 선팽창율 αP [단위 : 10-6/K] 은 (α1-3)≤αP≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치.
  99. 청구항 91 내지 93 중 어느 한 항에 있어서,
    상기 기체처리장치에 있어서, 상기 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 상기 기체처리장치의 측벽 및/ 또는 상판인 것을 특징으로 하는 기체처리장치.
  100. 청구항 99에 있어서, 상기 기체처리장치의 측벽 및/ 또는 상판에는 히터가 배설되어 있는 것을 특징으로 하는 기체처리장치.
  101. 청구항 100에 있어서, 상기 히터는 모재의 내부에 배설되어 있고,
    상기 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 상기 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치.
  102. 청구항 91 내지 93 중 어느 한 항에 있어서,
    상기 기체처리장치에 있어서, 상기 기체에 대하여 플라즈마에칭처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 상기 기체처리장치 내에 배설된 평행평판의 상부대향전극인 것을 특징으로 하는 기체처리장치.
  103. 청구항 102에 있어서, 상기 상부대향전극에는 히터가 배설되어 있는 것을 특징으로 하는 기체처리장치.
  104. 청구항 103에 있어서, 상기 히터는 모재의 내부에 배설되어 있고,
    상기 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 상기 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치.
  105. 청구항 91 내지 93 중 어느 한 항에 있어서, 상기 세라믹스층은, 용사법으로 상기 모재의 표면에 형성되어 있는 것을 특징으로 하는 기체처리장치.
  106. 청구항 91 내지 93 중 어느 한 항에 있어서, 상기 세라믹스층은, 납땜법으로 상기 모재의 표면에 형성되어 있는 것을 특징으로 하는 기체처리장치.
  107. 기체를 처리하기 위한 기체처리장치의 제작방법으로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 용기안에 다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치의 제작방법.
  108. 기체를 처리하기 위한 기체처리장치의 제작방법으로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 비가압금속침투법에 따라서, 질화알루미늄입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치의 제작방법.
  109. 기체를 처리하기 위한 기체처리장치의 제작방법으로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 비가압금속침투법에 따라서, 탄화규소입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치의 제작방법.
  110. 기체를 처리하기 위한 기체처리장치의 제작방법으로서,
    이 기체처리장치의 일부는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 용기안에 탄화규소를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체처리장치의 제작방법.
  111. 청구항 107 내지 110 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    상기 공정 (B)는, 상기 세라믹스층을 용사법으로 상기 모재의 표면에 형성하는 공정으로 이루어지는 것을 특징으로 하는 기체처리장치의 제작방법.
  112. 청구항 107 내지 110 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 재료는 Al2O3 또는 질화알루미늄이고,
    상기 공정 (B)는, 상기 세라믹스층을 납땜법으로 상기 모재의 표면에 부착하는 공정으로 이루어지는 것을 특징으로 하는 기체처리장치의 제작방법.
  113. 청구항 107 내지 110 중 어느 한 항에 있어서, 상기 기체처리장치에 있어서, 기체에 대하여 플라즈마에칭처리, 플라즈마CVD처리, 또는 스퍼터처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 정전처크기능을 가지고, 또한 온도제어수단을 구비한 기체재치스테이지인 것을 특징으로 하는 기체처리장치의 제작방법.
  114. 청구항 107 내지 110 중 어느 한 항에 있어서, 상기 기체처리장치에 있어서, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 상기 기체처리장치의 측벽 및/ 또는 상판인 것을 특징으로 하는 기체처리장치의 제작방법.
  115. 청구항 107 내지 110 중 어느 한 항에 있어서, 상기 기체처리장치에 있어서, 기체에 대하여 플라즈마에칭처리가 행해지고,
    상기 복합재료에 의해 구성되는 기체처리장치의 일부는, 상기 기체처리장치 내에 배설된 평행평판의 상부대향전극인 것을 특징으로 하는 기체처리장치의 제작방법.
  116. 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지로서,
    세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 코디에라이트세라믹스이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3인 것을 특징으로 하는 기체재치스테이지.
  117. 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지로서,
    세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되고,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 질화알루미늄이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 기체재치스테이지.
  118. 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지로서,
    세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되며,
    상기 모재의 선팽창율을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 은 (α1-3)≤α2≤(α1+3)을 만족하며,
    상기 모재를 구성하는 상기 세라믹스부재의 조성은 탄화규소이고, 상기 모재를 구성하는 상기 알루미늄계 재료의 조성은 알루미늄 또는 알루미늄과 규소이고, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄인 것을 특징으로 하는 기체재치스테이지.
  119. 청구항 116 내지 118 중 어느 한 항에 있어서, 상기 기체재치스테이지를 전극으로서 사용하고, 상기 세라믹스층은 정전처크기능을 가지는 것을 특징으로 하는 기체재치스테이지.
  120. 청구항 116 내지 118 중 어느 한 항에 있어서, 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것을 특징으로 하는 기체재치스테이지.
  121. 청구항 120에 있어서, 상기 히터는 모재의 내부에 배설되어 있고,
    상기 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 상기 히터를 구성하는 재료의 선팽창율 αH〔단위 : 10-6/K〕은 (α1-3)≤αH≤(α1+3)을 만족하는 것을 특징으로 하는 기체재치스테이지.
  122. 청구항 120에 있어서, 상기 온도제어수단은, 모재의 내부에 배설된 온도제어용 열매체가 흐르는 배관으로 또한 구성되어 있고,
    상기 모재의 선팽창율을 α1〔단위 : 10-6/K〕로 했을 때, 상기 배관의 선팽창율 αP〔단위 : 10-6/K〕은 (α1-3)≤αP≤(α1+3)을 만족하는 것을 특징으로 하는 기체재치스테이지.
  123. 청구항 116 내지 118 중 어느 한 항에 있어서, 상기 세라믹스층은, 용사법으로 상기 모재의 표면에 형성되어 있는 것을 특징으로 하는 기체재치스테이지.
  124. 청구항 116 내지 118 중 어느 한 항에 있어서, 상기 세라믹스층은 납땜법으로 상기 모재의 표면에 부착되어 있는 것을 특징으로 하는 기체재치스테이지.
  125. 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지의 제작방법으로서,
    기체재치스테이지는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 용기안에 다공질의 코디에라이트세라믹스를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄과 규소를 조성으로 한 알루미늄과 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체재치스테이지의 제작방법.
  126. 정전처크기능을 가지고, 또한, 온도제어수단을 구비한 기체재치스테이지의 제작방법으로서,
    기체재치스테이지는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 비가압금속침투법에 따라서, 질화알루미늄입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체재치스테이지의 제작방법.
  127. 정전처크기능을 가지고, 또한 온도제어수단을 구비한 기체재치스테이지의 제작방법으로서,
    기체재치스테이지는, 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 비가압금속침투법에 따라서, 탄화규소입자로 성형된 세라믹스부재에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 비가압상태로 침투시켜 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체재치스테이지의 제작방법.
  128. 세라믹스부재의 조직중에 알루미늄계 재료가 충전된 모재와, 이 모재의 표면에 배설된 세라믹스층과로 이루어지는 복합재료로 구성되어 있고,
    이 복합재료는,
    (A) 용기안에 탄화규소를 조성으로 한 세라믹스부재를 배치하고, 이 용기내에 용융한 알루미늄 또는 알루미늄과 규소를 조성으로 한 알루미늄계 재료를 유입하고, 고압주조법으로 세라믹스부재중에 알루미늄계 재료를 충전하여 모재를 제작하는 공정과,
    (B) 이 모재의 표면에 세라믹스층을 배설하는 공정에 따라서 제작하고,
    상기 모재의 선팽창율(線膨脹率)을 α1 [단위 : 10-6/K] 로 했을 때, 상기 세라믹스층의 선팽창율 α2 [단위 : 10-6/K] 는 (α1-3)≤α2≤(α1+3)을 만족하는 것을 특징으로 하는 기체재치스테이지의 제작방법.
  129. 청구항 125 내지 128 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    상기 공정 (B)는, 상기 세라믹스층을 용사법으로 상기 모재의 표면에 형성하는 공정으로 이루어지는 것을 특징으로 하는 기체재치스테이지의 제작방법.
  130. 청구항 125 내지 128 중 어느 한 항에 있어서, 상기 세라믹스층을 구성하는 재료는 Al2O3 또는 질화알루미늄이고,
    상기 공정 (B)는, 상기 세라믹스층을 납땜법으로 상기 모재의 표면에 부착하는 공정으로 이루어지는 것을 특징으로 하는 기체재치스테이지의 제조방법.
  131. 기체를 처리하기 위한 기체처리장치를 사용한 기체처리방법으로서,
    이 기체처리장치는 기체재치스테이지를 구비하고,
    이 기체재치스테이지는, 상기 청구항 1, 9 또는 13 중 어느 한 항에 의한 복합재료로 제작되고, 그리고, 정전처크기능을 가지고, 또한, 온도제어수단을 구비하고 있고,
    정전처크기능에 의해 이 기체재치스테이지상에 기체를 고정하고, 기체재치스테이지의 온도를 온도제어수단에 의해 제어한 상태에서, 기체에 대하여 처리를 행하는 것을 특징으로 하는 기체처리방법.
  132. 청구항 131에 있어서, 상기 기체에 대한 처리는 플라즈마에칭처리인 것을 특징으로 하는 기체처리방법.
  133. 청구항 131에 있어서, 상기 기체에 대한 처리는 플라즈마CVD처리인 것을 특징으로 하는 기체처리방법.
  134. 청구항 131에 있어서, 상기 기체에 대한 처리는 스퍼터처리인 것을 특징으로 하는 기체처리방법.
  135. 청구항 134에 있어서, 상기 스퍼터처리에는, 기체의 소프트에칭처리가 포함되는 것을 특징으로 하는 기체처리방법.
  136. 청구항 131에 있어서, 상기 기체재치스테이지에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것을 특징으로 하는 기체처리방법.
  137. 청구항 136에 있어서, 상기 히터는 상기 모재의 내부에 배설되어 있는 것을 특징으로 하는 기체처리방법.
  138. 청구항 136에 있어서, 상기 온도제어수단은, 또한, 온도제어용 열매체가 흐르는 배관으로 구성되어 있는 것을 특징으로 하는 기체처리방법.
  139. 측벽 및/ 또는 상판이, 상기 청구항 1, 9 또는 13 중 어느 한 항에 의한 복합재료로 제작된, 기체를 처리하기 위한 기체처리장치를 사용한 기체처리방법으로서,
    이 기체처리장치내에 기체를 수납하고, 기체에 대하여 플라즈마에칭처리 또는 플라즈마CVD처리를 행하는 것을 특징으로 하는 기체처리방법.
  140. 청구항 139에 있어서, 상기 측벽 및/ 또는 상판에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것을 특징으로 하는 기체처리방법.
  141. 청구항 140에 있어서, 상기 히터는 모재의 내부에 배설되어 있는 것을 특징으로 하는 기체처리방법.
  142. 기체를 처리하기 위한 기체처리장치를 사용한 기체처리방법으로서,
    이 기체처리장치는, 하부전극을 겸한 기체재치스테이지, 및 상부대향전극을 구비하고,
    이 상부대향전극은, 상기 청구항 1, 9 또는 13 중 어느 한 항에 의한 복합재료로 제작되고,
    이 기체재치스테이지상에 기체를 재치한 상태에서, 기체에 대하여 플라즈마에칭처리를 행하는 것을 특징으로 하는 기체처리방법.
  143. 청구항 142에 있어서, 상기 상부대향전극에는 온도제어수단이 배설되고, 이 온도제어수단은 히터로 구성되어 있는 것을 특징으로 하는 기체처리방법.
  144. 청구항 143에 있어서, 상기 히터는 모재의 내부에 배설되어 있는 것을 특징으로 하는 기체처리방법.
KR1019980002468A 1997-01-29 1998-01-26 복합재료및그제조방법,기체처리장치및그제작방법,기체재치스테이지및그제작방법,및기체처리방법 KR100573993B1 (ko)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
JP1538697 1997-01-29
JP97-15386 1997-01-29
JP11856297 1997-05-09
JP97-118562 1997-05-09
JP97-218832 1997-08-13
JP21883297 1997-08-13
JP97-258229 1997-09-24
JP25822997A JP4022954B2 (ja) 1997-01-29 1997-09-24 複合材料及びその製造方法、基体処理装置及びその作製方法、基体載置ステージ及びその作製方法、並びに基体処理方法

Publications (2)

Publication Number Publication Date
KR19980070896A KR19980070896A (ko) 1998-10-26
KR100573993B1 true KR100573993B1 (ko) 2006-09-18

Family

ID=27456370

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980002468A KR100573993B1 (ko) 1997-01-29 1998-01-26 복합재료및그제조방법,기체처리장치및그제작방법,기체재치스테이지및그제작방법,및기체처리방법

Country Status (3)

Country Link
US (2) US6391437B1 (ko)
JP (1) JP4022954B2 (ko)
KR (1) KR100573993B1 (ko)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3739913B2 (ja) * 1997-11-06 2006-01-25 ソニー株式会社 窒化アルミニウム−アルミニウム系複合材料及びその製造方法
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
JP2000216596A (ja) * 1999-01-25 2000-08-04 Sanyo Electric Co Ltd 電子部品自動装着装置
JP3457277B2 (ja) * 1999-12-15 2003-10-14 沖電気工業株式会社 半導体装置および半導体装置の製造方法
US20030207021A1 (en) 2000-04-28 2003-11-06 Hiroshi Izawa Deposited-film formation apparatus, deposited-film formation process, vacuum system, leak judgment method, and computer-readable recording medium with recorded leak-judgment- executable program
US6692844B2 (en) * 2000-08-29 2004-02-17 The Boeing Company Glaze for ceramic superplastic forming (SPF) dies
JP2002289677A (ja) * 2001-03-26 2002-10-04 Taiheiyo Cement Corp 静電チャック
KR100828502B1 (ko) * 2001-04-14 2008-05-13 삼성전자주식회사 건식 식각 장치
US20030042227A1 (en) * 2001-08-29 2003-03-06 Tokyo Electron Limited Apparatus and method for tailoring an etch profile
US6949460B2 (en) * 2003-11-12 2005-09-27 Lam Research Corporation Line edge roughness reduction for trench etch
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US7697260B2 (en) * 2004-03-31 2010-04-13 Applied Materials, Inc. Detachable electrostatic chuck
US7300707B2 (en) 2004-10-25 2007-11-27 Creative Technology Corporation Aluminium composite structure having a channel therein and method of manufacturing the same
US20070052021A1 (en) * 2005-08-23 2007-03-08 Semiconductor Energy Laboratory Co., Ltd. Transistor, and display device, electronic device, and semiconductor device using the same
DE102005042209A1 (de) * 2005-09-06 2007-03-08 Robert Bosch Gmbh Verfahren zur Herstellung eines Filterelements und einer Trägerstruktur für einen Katalysator mit verbesserter Temperaturbeständigkeit
US7858554B2 (en) * 2007-05-24 2010-12-28 Geo2 Technologies, Inc. Cordierite fiber substrate and method for forming the same
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2009535801A (ja) * 2006-04-28 2009-10-01 ダンスン エレクトロン カンパニー リミテッド サセプタの製造方法、及び、この方法によって製造されたサセプタ
US7589950B2 (en) * 2006-10-13 2009-09-15 Applied Materials, Inc. Detachable electrostatic chuck having sealing assembly
US20090238998A1 (en) * 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US8809800B2 (en) * 2008-08-04 2014-08-19 Varian Semicoductor Equipment Associates, Inc. Ion source and a method for in-situ cleaning thereof
TWI403576B (zh) * 2008-12-31 2013-08-01 Ind Tech Res Inst 含碳金屬複合材料及其製作方法
JP5732798B2 (ja) * 2010-09-29 2015-06-10 住友大阪セメント株式会社 セラミック部材
GB201110476D0 (en) * 2011-06-21 2011-08-03 Spp Process Technology Systems Uk Ltd A method of supporting a workpiece during physical vapour deposition
US9719166B2 (en) 2011-06-21 2017-08-01 Spts Technologies Limited Method of supporting a workpiece during physical vapour deposition
US9673077B2 (en) 2012-07-03 2017-06-06 Watlow Electric Manufacturing Company Pedestal construction with low coefficient of thermal expansion top
US9224626B2 (en) 2012-07-03 2015-12-29 Watlow Electric Manufacturing Company Composite substrate for layered heaters
KR102073729B1 (ko) 2014-03-31 2020-03-03 주식회사 원익아이피에스 기판 처리 장치
JP2015026862A (ja) * 2014-10-07 2015-02-05 株式会社ニコン 加熱モジュールおよび貼り合わせ装置
US10763290B2 (en) 2017-02-22 2020-09-01 Elwha Llc Lidar scanning system
WO2021167657A2 (en) 2019-11-13 2021-08-26 Lumotive, LLC Lidar systems based on tunable optical metasurfaces
CN114538933B (zh) * 2020-11-24 2022-11-22 娄底市安地亚斯电子陶瓷有限公司 一种行波管夹持杆的制备方法
US11429008B1 (en) 2022-03-03 2022-08-30 Lumotive, LLC Liquid crystal metasurfaces with cross-backplane optical reflectors
US11487183B1 (en) 2022-03-17 2022-11-01 Lumotive, LLC Tunable optical device configurations and packaging
US11487184B1 (en) 2022-05-11 2022-11-01 Lumotive, LLC Integrated driver and self-test control circuitry in tunable optical devices
US11493823B1 (en) 2022-05-11 2022-11-08 Lumotive, LLC Integrated driver and heat control circuitry in tunable optical devices

Also Published As

Publication number Publication date
JP4022954B2 (ja) 2007-12-19
US20020081445A1 (en) 2002-06-27
US6391437B1 (en) 2002-05-21
JPH11121598A (ja) 1999-04-30
KR19980070896A (ko) 1998-10-26

Similar Documents

Publication Publication Date Title
KR100573993B1 (ko) 복합재료및그제조방법,기체처리장치및그제작방법,기체재치스테이지및그제작방법,및기체처리방법
KR100539626B1 (ko) 유리 기판 처리 장치
US20170345691A1 (en) Substrate support assembly
US5981913A (en) Static electricity chuck and wafer stage
KR100274307B1 (ko) 플라즈마 처리장치
CN104143521B (zh) 原位金属硬掩模形状控制的脉冲电介质蚀刻工艺
US20040198062A1 (en) Method of fabricating a dual damascene interconnect structure
KR20140014119A (ko) 실리콘 웨이퍼들 상에서의 스루-실리콘 비아들의 제조
JP6921990B2 (ja) 超伝導体相互接続のための予洗浄および堆積の方法
TW200525635A (en) Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift
KR101846049B1 (ko) Cu 배선의 제조 방법 및 기억 매체
US6753258B1 (en) Integration scheme for dual damascene structure
US20040147115A1 (en) Two-step formation of etch stop layer
KR20180068328A (ko) 구리 배선의 제조 방법
JP2000269189A (ja) プラズマエッチング法
JP2000082695A (ja) プラズマエッチング法及び半導体装置
JP2000331991A (ja) 半導体装置の製造方法
JP2000058520A (ja) 基体載置ステージ及びその製造方法、並びに、基体処理方法
JPH1161448A (ja) ドライエッチング法
JP3438496B2 (ja) ウエハステージとその製造方法およびドライエッチング装置
JP3855451B2 (ja) フルオロカーボン膜の成膜方法
US20230411124A1 (en) Ceramic component with channels
JPH11307515A (ja) 銅薄膜のプラズマエッチング法
JPH1064985A (ja) ウエハステージ、ウエハの温度調整方法及びドライエッチング装置
JP2000124196A (ja) プラズマエッチング法に基づくポリメタル積層体の形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130412

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20140411

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20160408

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20170407

Year of fee payment: 12

EXPY Expiration of term