KR100500908B1 - 건식 산화물 에칭용 자외선/할로겐 처리 - Google Patents

건식 산화물 에칭용 자외선/할로겐 처리 Download PDF

Info

Publication number
KR100500908B1
KR100500908B1 KR10-1999-7003812A KR19997003812A KR100500908B1 KR 100500908 B1 KR100500908 B1 KR 100500908B1 KR 19997003812 A KR19997003812 A KR 19997003812A KR 100500908 B1 KR100500908 B1 KR 100500908B1
Authority
KR
South Korea
Prior art keywords
gas
substrate
etching
pretreatment
halogen
Prior art date
Application number
KR10-1999-7003812A
Other languages
English (en)
Other versions
KR20000052940A (ko
Inventor
페이필드로버트티
슈왑브렌트디
Original Assignee
에프 에스 아이 인터내셔날,인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에프 에스 아이 인터내셔날,인코포레이티드 filed Critical 에프 에스 아이 인터내셔날,인코포레이티드
Publication of KR20000052940A publication Critical patent/KR20000052940A/ko
Application granted granted Critical
Publication of KR100500908B1 publication Critical patent/KR100500908B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

HF/ROH (여기서 R은 H 또는 알킬기임) 반응과 같은 종래 SiO2 에칭 반응을 이용하는 기판의 표면 영역상의 SiO2 에칭의 균일성은, 기판이 에칭반응전에 전처리될 때 향상된다. 전처리에서, 처리실내 기판은 UV 조사된 할로겐 가스에 노출된다. 적절한 할로겐 가스로는 플루오르와 염소 가스가 있다. 산소도 임의로 할로겐 가스와 포함될 수도 있다. 전처리는 에칭 균일성 결과를 웨이퍼의 보관 내력과는 실질적으로 무관하게 한다.

Description

건식 산화물 에칭용 자외선/할로겐 처리 {UV/HALOGEN TREATMENT FOR DRY OXIDE ETCHING}
반도체 소자 제조시, 실리콘 산화물 제거를 포함하는 많은 공정단계가 있다. 실리콘 산화물 에칭을 위한 종래의 많은 프로토콜은 R이 알킬기인 (즉 ROH = 물 또는 알콜) ROH와 같은 촉매와 HF의 액체상 또는 기체상 혼합물을 사용한다. 또한 좀더 최근에는 이 목적을 위해 UV 조사된 플루오르 또는 할로겐화 플루오르 가스를 이용한 기체상 시스템을 기술하고 있다.
다양한 실리콘 산화막을 에칭하는데 기체상 HF/물 혼합물을 사용할 수 있다는 것은 오랫동안 알려져 왔다. 초기 참고문헌은 양자 모두 HF/물의 함께 끓는 물질(공비 혼합물)을 사용하고 있는, J.P. 홀메스씨 등의 "A Vapor Etching Technique for the Photolithography of Silicon Dioxide", Microelectronics and Reliability, 5 pp 337-341(1996); 및 K. 브레이어씨 등의 "Etching of SiO2 in Gaseous HF/H2O", IBM Technical Bulletin, 19(7) (12/1976)를 포함한다.
US 4,749,440(블랙우드)에는 질소흐름에 운반된 수증기 및 무수 HF 가스를 이용하여 실리콘 웨이퍼로부터 실리콘 산화막을 제거하는 공정이 공개되어 있다. 이들 가스는 처리실에 들어가기 바로 전에 혼합된다. 생성물은 가스상태이고 비활성 질소 캐리어 가스에 의해 제거된다. 이 공정은 린스단계 동안 흔히 개입되는 감소된 중금속 침전물 및 줄어든 환경문제에서 종래의 액체상 에칭순서에 비해 장점을 갖는다. 또한, 무수 HF를 사용함으로써 HF가 함께 끓는 물질로써 물에 공급되는 종래의 기체상 HF/물 프로세스와 비교하여 향상된 공정제어를 할 수 있다. 이 공정을 수행하기 위한 주위압력장치는 등록상표 "Excalibur"를 사용하여 FSI 인터내셔날 인코포레이티드에 의해 현재 상업적으로 이용가능하다.
실리콘 산화물을 에칭하기 위한 HF/알콜 공정이 다양한 공보에 기재되어 있다.
실리콘 산화물을 제거하기 위하여 글러브박스 반응실내 N2하에 실리콘 웨이퍼를 빠르게 스핀하는데 HF/알콜 혼합물이 적용되는 액체상 반응은 F.J. Grunthaner씨 등의 "고해상도 XPS를 이용한 산화물/GaAs 및 SiO2/Si 경계면의 국부 원자구조 및 전자구조", J. Vac. Technol.., 16(5) 1443-1453 (1979); F.J. Grunthaner씨 등의 "SiO2/Si 경계면의 화학구조 및 전자구조", 재료과학 보고서, 1 69 (82-86 & 130-160)(1986); W.J. Kaiser씨 등의 "수소-터미네이티드 실리콘 기판의 기하학적 구조 및 전자구조의 스캐닝 터널링 현미기술 특성화", J. Vac. Soc. A, 6 (2), 519-523 (4/1988); 및 D.B. Fenner씨 등의 "수소 터미네이션에 의한 실리콘 표면 패시베이션: 예비방법의 비교 연구", J. Appl. Phys., 66(1) pp 419-424 (7/89)에 기술되어 있다. J.L. Prom씨 등의 "얇은 SiO2층의 전기특성에 따른 선산화 세정의 영향", IEE Proceedings, Pt 1, 135, (1), 20-22 (2/88)는 선산화 세정의 마지막 단계로써 HF와 에탄올(1:10) 침지의 사용을 보고하고 있다.
US 5,022,961(이즈미씨)는 실리콘 기판으로부터 실리콘 산화물의 박막을 제거하기 위한 공정을 기술하고 있다. 두 단계가 확인된다:
(a)격리될 반응실에 기판을 외부 공기로부터 기밀하게 위치시키는 단계, 및
(b)무수 수소 플루오르화물 및 알콜을 동시에 반응실에 공급하는 단계.
상기 참고문헌은 HF/알콜 공급이 용액 또는 가스 혼합물로써 될 수도 있음을 가리킨다. 주위 압력 기체상 에칭공정의 비슷한 공개는 A. Izumi씨 등의 "무수 HF/CH3OH 증기 시스템을 이용한 새로운 세정법", J. Ruzyllo씨 등의 ed., 반도체 소자 제조에서 세정기술에 관한 심포지움, ECS Proceedings, 92(12), pp 260-266(1992)에 포함되어 있다.
1994년 3월 30일 미합중국에 출원되어 1995년 8월 8일 발행된 미국특허 5,439,553(그랜트씨 등)는 HF/알콜 가스 혼합물이 응결을 최소화하기 위해 저압에서 사용된 웨이퍼 기판으로부터 실리콘 산화물을 제거하기 위한 공정을 기술하고 청구하고 있다. 1993년 2월 23일과 24일 텍사스주, 오스틴에서 뉴저지주, 이스트 윈저, 워너 컨 어소시에이트에 의해 개최된 제목 "반도체 웨이퍼 세정 기술" 단기 강좌의 참석자들에게 배포된 강좌자료에 일찍이 동일한 공정이 공개되었다. 이 단기 강좌에서, 미국특허 5,439,553의 발명자중 한 발명자는 또한 응결이 생기지 않는 저압의 조건하에 HF/메탄올 공정을 이용한 실리콘 산화물의 증기상 에칭의 의제가 포함된 건식 세정공정에 관하여 강의를 하였다.
J. Butterbaugh씨 등의 "무수 HF 및 이소프로판올으로 실리콘 산화물의 기체상 에칭", 반도체 소자 제조의 세정기술에 관한 제3차 국제 심포지움의 회의록, ECS Proceedings, 94(7) pp 374-383(1994)는 실리콘 산화물용 저압 HF/이소프로판올 에칭공정을 기술하고 있다.
추가된 촉매를 이용하지 않는 실리콘 산화물 제거용 HF 에칭 시스템은 N Miki씨 등의 "네거티브 산화물의 기체상 선택적인 에칭", 전자소자에 관한 IEEE 회보, 37 pp 107-115 (1/90)에 보고되고 있다.
EP 688045에는 HF에 기초한 공정보다 도핑된 산화물에 대해 덜 선택적인 실리콘 산화물을 제거하기 위한 방법이 공개되고 있다. 이 방법은 기판의 UV 조사와 함께 단원자 플루오르 종을 발생하기 위하여 UV로 광분해가능한 플루오르 또는 가스를 이용한다.
후지쯔 래버러토리 리미티드의 일부 저자는 실리콘 웨이퍼 기판으로부터의 금속 오염을 세정하기 위한 UV/Cl2 공정과 실리콘 산화물을 에칭하기 위한 UV/F2/Ar 및 UV/F2/H2 공정을 기술하고 있는 공보를 만들고 있다. 이들 공보는 T. Ito.씨의 "광-여기된 할로겐 라디칼로 웨이퍼 세정", Proceedings - Institute of Environmental Sciences, 1991, pp 808-813; Aoyama씨 등의 "광 여기된 플루오르 가스를 이용하여 Si(001) 표면으로부터 네거티브 산화물의 제거", Appl. Phys. Lett., 59, Nov. 1991, pp 2576-2578; Aoyama씨 등의 "수소로 희석한 광 여기된 플루오르 가스를 이용한 실리콘 표면 세정", J. Electrochem. Soc., 140, 1704-1708 (1993); Aoyama씨 등의 "광 여기된 플루오르 가스를 이용한 Si 에피택시용 표면 세정", J. Electrochem. Soc., 140, 366-371 (1993); 및 US 5178721를 포함하고 있다.
다양한 이들 기체-상 에칭 반응에 대한 경험이 늘어남에 따라, 얻은 결과가 특히 HF/촉매 에칭 시스템으로 기판의 취급 내력(handling history)에 따라 매우 가변적으로 될 수 있다는 사실은 분명해진다. 웨이퍼가 산화물 생성 퍼니스로부터 에칭 반응실로 빠르게 운반된다면 에칭 깊이 및 웨이퍼를 가로지르는 에칭 균일성은 매우 재생가능하지만, 웨이퍼가 클린룸 환경이나 수 분처럼 짧은 동안 표준 플라스틱 웨이퍼 카셋트내 진공 보관에 노출된다면 이 공정의 재생가능성은 빨리 저하될 수 있다. 균일한 조건에 대한 상기 환경 노출을 주의깊게 제어함으로써 어느 정도 이 문제를 해결할 수 있지만, 때때로 취급 내력은 알려지지 않거나 특정 에칭 작동에 대해 수립한 통상적인 제어조건을 벗어난다. 예를 들어 제조 시퀀스 공정이 비정상적인 환경에 기인한 에칭 작동 바로 전에 중단될 때, 언젠가 에칭을 개시할 수 있는 시간범위를 벗어날 때 까지 다시 시작할 수 없다.
본 발명을 이끄는 조사로부터, 에칭의 비균일성의 주요원인은 공정을 기다리는, 특히 진공 보관 조건하에 실리콘 웨이퍼를 보관하는데 사용되는 폴리머 카세트에서 나올 수도 있다. 따라서 산화반응의 완료이후 동시에 유지 카세트에 위치하고 즉시 개별적인 에칭공정용 진공 클러스터 툴 장치로 운반된 다수의 웨이퍼는, 얼마나 오랫동안 웨이퍼가 에칭공정에서 자기의 차례를 기다리며 카세트내에 머물러 있는가에 따라 현저히 다른 에칭 균일성 결과를 디스플레이 할 수 있다.
이 취급 내력을 항상 웨이퍼에서 웨이퍼까지 동일하게 제어하거나 유지할 수 없으므로, 에칭 반응을 취급 내력에 관계없이 재생할 수 있는 에칭 이전의 조건에 웨이퍼를 둘 수 있는 것이 바람직하다. 또한 웨이퍼가 패시베이트되는 시간과 에칭되는 시간 사이에 에칭반응을 웨이퍼의 취급 내력에 덜 민감하게 할 패시베이트 조건에 웨이퍼를 둘 수 있는 것이 바람직할 것이다. 또한 노출된 실리콘 영역을 갖는 기판을 포함하여, 복합 조성물의 기판상에 이들 결과를 얻을 수 있는 것이 바람직할 것이다.
도 1-3은 각각 실시예 1-3에서 보고된 바와 같이, 에칭된 실리콘 이산화물 웨이퍼의 등고선 도.
본 발명은 에칭단계 이전에 균일한 표면조건을 이루기위해 UV 조사된 할로겐 가스의 선택적으로 산소와 혼합되는 전처리(pretreatment)를 이용하고 있는 실리콘 또는 갈슘 비소 웨이퍼 기판과 같은 기판으로부터 실리콘 산화물의 기체상 에칭을 위한 공정에 관한 것이다. 특히 본 발명은 적어도 하나 이상의 부분에 실리콘 산화물을 갖는 표면을 구비한 기판이 기체상 반응물 시스템을 이용하여 에칭되는 에칭 단계를 포함하는, 기판으로부터 실리콘 산화물을 에칭하기 위한 향상된 기체상 공정이며, 여기서 상기 향상이란 에칭단계 이전에 상기 기판 표면이 UV 조사된 할로겐 가스 또는 산소와의 혼합물에 노출시켜 전처리되는 것이다. 상기 전처리는 웨이퍼 취급 내력에 대해 매우 민감한 것으로 알려진 촉매화된 HF 에칭 시스템, 특히 R이 H 또는 알킬기인 HF/ROH에 특히 유리하다. 특히 본 발명은 다수의 웨이퍼를 갖는 보관 카세트로부터 연속으로 추출된 단일 웨이퍼의 에칭에 의해 주위 압력이하에서 작동하는 HF/ROH 시스템에 대해 웨이퍼 에칭의 에칭 균일성의 재생에 두드러진 향상을 보여왔다. 에칭 깊이 재현성은 또한, 전처리후 기판이 에칭 반응을 시작하기 전에 일정 온도가 되도록 단계들을 취할 때 양호하다.
전처리 공정은 이 기판을 기판의 취급 내력과 거의 또는 전혀 관계없이 SiO2 에칭 반응에 대해 균일한 시작 조건으로 설정한다. 또한, 최소한 전처리 가스가 산소를 포함할 때, SiO2 표면의 결과로서 생긴 터미네이션(termination)은 통상의 클린룸 조건하의 대기 수분 또는 탄화수소로부터의 재오염에 대해 매우 저항적이어서, 전처리된 웨이퍼 상의 에칭 단계는 전처리 단계의 균일성 이득의 손실없이 실질적인 시간동안 추가로 지연될 수 있다. 또한, 실리콘 산화물 에칭 공정동안 에칭되는 것이 바람직하지 않는 표면상의 노출된 실리콘을 포함하는 기판에 대해, 이 공정은 (적절한 F2/O2 비를 이용한) 이 전처리가, 전처리 동안 에칭에 대해 노출된 실리콘을 패시베이트 하지만 다음의 산화물 에칭 단계동안 쉽게 제거되는 극박의 산소-플루오르화물 층을 생성함에 따라, 이 전처리가 UV/F2/O2 일 때 특히 유리하다.
본 공정으로 처리할 수 있는 기판 재료는 일반적으로 어떠한 종류의 기판 재료라도 될 수 있지만, 통상 Si, (석영을 포함하는) SiO2 또는 갈륨 비소 기판을 구성할 것이다. 기판 에칭 공정은 어떠한 제조 품목의 제조시 한 단계일 수도 있지만, 반도체 소자 및 마이크로머신 구조의 제조시 특별한 응용을 갖는다.
할로겐 가스는 적절하게는 플루오르 또는 염소이다. 브롬 또는 ClF3와 같은 할로겐화(interhalogen)가스 또한 적절할 수도 있지만, 이런 가스들은 취급의 어려움때문에 덜 바람직하다. 할로겐 가스는 약 10 mT에서 약 주위 압력까지의 부분압으로 적절히 공급된다. 질소, 헬륨 또는 아르곤과 같은 비활성 가스는 특히 할로겐 가스 부분압의 제어가 어려울 수도 있는 (10토르 이하의) 매우 낮은 총 가스압력에서 희석 가스로써 사용될 수도 있다. 바람직하게도 전처리 단계 동안의 총 가스 압력은 약 1에서 500토르이다.
본 발명의 일 실시예에서, 할로겐 가스와 산소의 혼합물이 사용된다. 산소는 총 가스 부피의 0에서 99.5%의 비율로 사용될 수도 있다. 산소가 많은 혼합물, 특히 약 99.5:0.5에서 약 80:20의 부피비의 O2/F2 혼합물은, 기판이 즉시 에칭되지 않을 때일지라도 재생가능한 에칭 균일성을 생성하는 능력을 보유하는 패시베이트된 표면을 제공함에 따라 유리하게 사용된다. 노출된 실리콘 영역을 포함하는 기판에 대해, 이런 산소가 많은 혼합물은 UV 조사된 할로겐 가스에 의한 실리콘 에칭을 억제하거나 제거한다.
UV 조사는 180에서 600nm의 파장범위에서 제공된다. 전체 이 파장범위에 걸친 출력을 제공하는 광범위 스펙트럼 소스(source)를 사용할 수 있다. 그러나, 180-420nm 범위내 출력의 실질적인 부분을 제공한다면, 180에서 600nm 범위의 단지 일부에 걸친 출력을 제공하는 협범위 스펙트럼 소스도 또한 적절하다. 적절한 소스는 중간 압력(medium pressure) 수은램프 또는 크세논램프이다. UV 조사는 펄스적이거나 연속적일 수 있다. 필요한 UV 광량자 플럭스를 발생시키는데 레이저 및 적절한 광학을 사용할 수도 있다. 기판 박막 및 오염물 제거 비율을 UV 방사, UV 광량자 에너지, UV 노출 시간 및/또는 UV 램프 또는 레이저 펄스비의 세기에 의해 상당히 제어할 수도 있다.
취급 내력과 무관한 표면조건을 얻기위해 UV 조사가 필요한 반면, 총 UV 조사량은 전처리 결과에 영향을 주지 않고 광범위하게 가변가능하다. 예를 들어, 수반하는 실시예에 기술된 바와 같이 설정시 실험의 일 세트에서, UV 플래시램프로의 총 전기 에너지 입력은 후속의 에칭 균일성에 주목할만한 영향을 주지 않고 약 6,000주울에서 약 20,000주울까지 변했다. 그러나, UV 조사량은 기판 온도에 영향을 주고, 에칭 반응의 시작시 에칭 깊이 결과의 균일성에 대해 일정한 기판 온도가 필요하다. 따라서, SiO2 에칭이 즉시 전처리 단계를 따르고 있는 보통의 경우에서, 작동자는 기판 냉각 또는 가열을 위한 지나친 지연없이 에칭공정에 적절한 온도에서 기판을 제공하기 위하여 UV 노출의 시간, 세기 및/또는 펄스 주파수 및 지속기간을 제어하기 원할 것이다. 전처리 단계의 시작시 기판의 온도는 적절하게는 약 주위 온도에서 약 250℃이고, 바람직하게는 약 25℃에서 약 50℃이다. 바람직하게도 UV 조사량은 조절되어, 기판온도는 전처리 반응의 끝에서 약 35℃와 약 75℃ 사이이고, 좀더 바람직하게는 약 50℃이하이다.
전처리 공정은 어떠한 반응 생성물이라도 신속히 제거하기 위하여 유동 시스템에 공정 가스를 적절히 추가한다. 10 sccm에서 약 5000 sccm의 총 가스 유동률을 유익하게 사용할 수도 있다.
2초에서 10분 이상의 전처리 공정시간이 사용된다. 재생가능하게 제어하기 위한 더 짧은 공정시간은 어려울 것이다. 약 3분을 초과한 연장된 공정시간은 총 공정의 스루풋을 감소시키므로 바람직하지 못하다. 전형적인 전처리 공정시간은 약 10초에서 약 90초의 범위일 것이다.
본 발명의 방법을 실행하는데 있어, 에칭되거나 세정될 기판재료를 포함하는 처리실에 가스 소스가 연결되어 제공된다. 처리실은 주위 대기로부터 밀봉되고 주위 압력 이하로 배기될 수 있는 화학적으로 비활성인 재료로 구성된 진공 용기를 적절하게 포함한다. 처리실은 낮은 베이스 압력까지 배기된다. 비슷한 베이스 압력까지 펌프로 배기할 수 있는 절연된 로드-록 챔버(load-lock chamber)를 통해 처리실내로 기판이 바람직하게 도입된다. 로드-록 챔버를 통해 처리실에 기판을 도입하거나 처리실에서 기판을 제거한다. 택일적으로, 기판은 배기전에 처리실내에 도입될 수도 있다. 다음으로 공정 가스 또는 공정 가스 혼합물이 처리실내에 도입된다. UV 조사는 외부의 램프 소스로부터 처리실내 UV 투과창을 통해 제공될 수도 있다. 전처리 단계가, 보관 내력보다는 차라리 리액터 가스 흐름 패턴의 비-균일성이 에칭 결과의 균일성에 영향을 미치는 주요한 요인이 되고 있는 조건에 웨이퍼를 설정하므로, 가스 흐름은 웨이퍼 표면상에 선대칭 패턴으로 바람직하게 제공된다. 그러나, 여전히 비대칭인 반응 가스 흐름 패턴을 이용하여 우수한 결과를 얻을 수 있다.
처리실은 주위 대기에 노출되지 않고 다른 프로세스 모듈로부터 기판 재료를 연속으로 운반하거나 다른 프로세스 모듈에 기판 재료를 연속으로 운반할 수 있는 진공 클러스터 로봇 운반유닛과 운반 인터페이스를 공유할 수도 있다.
전처리 공정에 적절한 챔버 장치 설계는 WO 96/19825에 기술되어 있는 반응실 설계이다.
이 공정의 실리콘 산화물 에칭 단계는 종래의 어떠한 실리콘 산화물 에칭 공정일 수도 있고, 보관 지연후 임의로, 전처리 단계를 완료하자마자 기판이 운반되는 동일한 리액터 또는 분리된 리액터에서 즉시 수행될 수도 있다. 적절하게는, 보존 지연(storage delay)이 이용되면, 이 기판은 진공하의 보존 인터벌(storage interval) 또는 보존 지연의 일부 동안 플라스틱 용기내에 보관될 수 있다. 다른 리액터에서 에칭단계가 수행된다면 클러스터 툴 핸들러로 적절하게 자동으로 운반이 이루어지는 것이 바람직하지만, 필수적인 것은 아니다.
실리콘 산화물 에칭 단계는 적절하게는 HF 에칭 반응이고, 가장 바람직하게 HF/촉매 에칭 반응이다. 본 발명은 아세톤과 같은 다른 촉매도 사용될 수 있을지라도, 촉매가 ROH인 (여기서 R은 H 또는 알킬기인) 이런 시스템에 특히 적절하다. 실리콘 산화물 에칭에 대한 전형적인 조건은 상기 배경기술 부문에 기술되어 있는 참고문헌에 보고되어 있다. 주위 압력이하에서 수행된 에칭 반응에 대해, HF/알콜 에칭 리짐(regime)이 바람직하다.
본 발명은 다음의 비제한적인 실시예로 예시된다.
실시예
UV/Cl2 및 UV/F2/O2 전처리 공정을 HF/IPA 실리콘 이산화물 에칭 공정 이전에 광화학 기체상 진공 리액터에서 실행한다. 이 전처리 공정에서 사용한 UV 소스는 (180과 400nm 사이의 최대 전력) 광대역 2000 와트 크세논 플래시램프이었다. 6인치 (150mm) 직경 실리콘 웨이퍼 기판을 산화로에서 생성된 4000Å의 열산화물로 덮었다. 각 공정에서 이 산화물을 HF/IPA 에칭 단계로 부분적으로 소비하였다(<1000Å). 결과로서 생긴 에칭 균일성을 137mm 검사 직경에 걸쳐 49점을 이용하여 매핑 분광계(mapping spectrometer)로 측정하였다.
모든 발명의 실험에 대해 다음 공정 시퀀스를 이용하였다:
1) UV/할로겐 전처리 공정을 실행하고
2) (UV 전처리 공정에 따른 냉각시간으로) 웨이퍼를 진공하에 냉각시키고
3) HF/IPA 산화물 에칭 공정을 실행한다.
UV/할로겐 전처리 공정에 대해 다음 파라미터를 이용하였다:
가스 압력(토르) %할로겐 흐름(sccm) 시간(초)
Cl2 10 100 200 10-90
F2/O2 10 10 1000 5-30
전처리 단계의 시작시 기판 온도는 약 42℃ 이었다. 조사후Cl2 처리된 기판은 60-200℃ 온도범위이고, F2/O2 처리된 기판은 48-100℃ 온도범위이었다.
펄스당 램프에 200주울의 전기 입력을 제공하는 방법으로 UV 플래시램프를 작동하였다. 펄스의 지속시간은 100 마이크로 초였다. 실시예 14를 제외하고, 7 펄스/초로 램프를 작동하였다. 실시예 14에서는 3 펄스/초로 램프를 작동하였다.
산화물 에칭 공정에 대해 다음 파라미터를 사용하였다:
가스 압력(토르) HF:N 2 :IPA 비 흐름(sccm) 시간(초) 온도(℃)
HF/IPA 90 25:25:1 4000 60 45-55
실시예 1-3
이 실시예들은 전처리하지 않은 웨이퍼는 노화시간(aging time)이 증가함에 따라 증가된 에칭 비균일성을 보이고, 반면 UV/Cl2 전처리한 웨이퍼는 노화시간과 무관하게 대략 동일한 에칭 균일성으로 되돌아 간다는 것을 실증한다. 이 실험에서 HF/IPA 공정 온도가 실행에서 실행까지 다소 변했으므로 평균 에칭 깊이가 변했다는 점에 주목하기 바란다.
실시예 1 (비교예)
전처리 없음. 퍼니스에서 갓 나온 웨이퍼를 상기에서 지적한 바와 같이 에칭하였다. 평균 에칭 깊이는 365Å이었다. 1 표준편차에서 평균으로부터 %편차로써 측정한 에칭 균일성은 3.55%이었다.
실시예 2 (비교예)
전처리 없음; 1일이상(>1일) 카세트에서 웨이퍼를 노화시킴, 동일한 산화물 에칭이 뒤따름. 평균 에칭 깊이는 346Å; 에칭 균일성: 1= 12.5% 이었다.
실시예 3 (발명의 예)
UV/Cl2 (90초) 전처리; 1일이상(>1일) 카세트에서 웨이퍼를 노화시킴; 동일한 산화물 에칭. 평균 에칭 깊이는 582Å; 에칭 균일성: 1= 3.44% 이었다.
도 1-3은 각각 실시예 1-3의 에칭된 웨이퍼의, 평균 에칭 깊이를 보여주는 굵은 선을 갖는 등고선 도이다. 이들 맵으로부터, 에칭 균일성 패턴이 노화로 그리고 전처리 단계를 반복하여 변한다는 것을 알 수 있다. 좀더 양호한 균일성외에, 전처리된 웨이퍼는 챔버 흐름 기하학을 나타내는 에칭 패턴 특징을 보여준다. 전처리하지 않고 노화시킨 웨이퍼는 (챔버 흐름 기하학 특징이 없는) z-축 대칭 에칭 패턴을 보여준다.
실시예 4-6
이 실시예들은 UV 전처리 단독으로 또는 Cl2 전처리 단독으로는 결합된 UV/Cl2 전처리 공정같은 에칭 균일성 결과를 만들어 낼 수 없음을 실증하고 있다.
실시예 4 (비교예)
1일이상(>1일) 보관 카세트에서 노화시킨 웨이퍼상에 Cl2 전처리 (90초). 앞의 실시예에서처럼 동일한 산화물 에칭을 이용하였다. 평균 에칭 깊이는 462Å; 에칭 균일성: 1= 9.81% 이었다.
실시예 5 (비교예)
1일이상(>1일) 카세트에서 노화시킨 웨이퍼상에 UV 전처리 (90초); 동일한 산화물 에칭. 평균 에칭 깊이는 474Å; 에칭 균일성: 1= 13.2% 이었다.
실시예 6 (발명의 예)
UV/Cl2 (90초) 전처리; 1일이상(>1일) 카세트에서 웨이퍼를 노화시킴; 동일한 산화물 에칭. 평균 에칭 깊이는 582Å; 에칭 균일성: 1= 3.44% 이었다.
실시예 7-9
이 실시예들은 처리되지 않은 에칭한 웨이퍼에 비해 UV/F2/O2 전처리 공정 및 성능을 실증한다. 실시예 9는 전처리와 연속한 산화물 에칭간에 상당한 지연을 갖는다는 점에 주목하기 바란다. 실시예 9의 결과는 표면을 전처리하는 것외에 F2/O2 공정도 또한 연속한 재오염을 막는다는 것을 보여주고 있다.
실시예 7 (비교예)
전처리 없음; 1일이상(>1일) 카세트에서 웨이퍼를 노화시킴; 동일한 산화물 에칭. 평균 에칭 깊이는 208Å; 에칭 균일성: 1= 5.5% 이었다.
실시예 8 (발명의 예)
UV/F2/O2 (30초) 전처리; 1일이상(>1일) 카세트에서 웨이퍼를 노화시킴; 동일한 산화물 에칭. 평균 에칭 깊이는 229Å; 에칭 균일성: 1= 3.4% 이었다.
실시예 9 (발명의 예)
UV/F2/O2 (30초) 전처리; 1일이상(>1일) 카세트에서 웨이퍼를 노화시킴; 전처리에 이어 카세트에서 12시간 하고, 이어서 동일한 산화물 에칭으로 에칭. 평균 에칭 깊이는 278Å; 에칭 균일성: 1= 3.6% 이었다.
실시예 10-15
표 1은 산화에 이은 전처리후에 1달 동안 백색 폴리프로필렌 카세트에 보관된 웨이퍼; 진공하에 표에 표시된 바와 같은 시간동안 흑색 탄소-강화 폴리프로필렌 보관 카세트에 보관된 웨이퍼; 앞의 실시예에서와 같은 HF/IPA후에 보관된 웨이퍼에 대한 공정조건을 보여준다. 측정한 에칭 균일성 (1 편차) 결과는 표에 보고되어 있다. 실시예 10-12는 비교예이다.
표 1
실시예 전처리 전처리후 보관시간 균일성(1)
10 15분 4.0%
11 2시간 5.6%
12 24시간 8.9%
13 UV/F2/O2 (20초) 2시간 3.4%
14 UV/F2/O2 (20초) 24시간 3.0%
15 UV/F2/O2 (20초) 16시간 3.6%
전술한 실시예로부터 Cl2 및 F2/O2 처리 공정으로 측정가능한 에칭 성능이 향상됨을 알 수 있다. 두가지 전처리 공정은 HF/IPA 단일 공정(전처리 없음)에 비해 향상된 웨이퍼내 산화물 에칭 균일성을 가져왔다. 전처리한 에칭은 항상 전처리후의 노화시간 또는 보관내력과는 무관하게 비슷한 웨이퍼내 균일성을 가져왔다. 웨이퍼 표면조건은 일정한 시작 조건으로 효과적으로 설정되고, 이를 연장된 시간동안 유지했다. 반대로, 처리하지 않은 HF/IPA 에칭된 웨이퍼의 비균일성은 노화시간에 따라 증가했다. 따라서, 웨이퍼가 플라스틱 카세트에서 노화됨에 따라 전처리된 웨이퍼와 처리되지 않은 웨이퍼간의 산화물 에칭 균일성 차이는 증가되었다. 또한, (할로겐이 없는) UV만으로 또는 (UV가 없는) 할로겐만으로 이루어진 전처리는 측정가능한 에칭 성능 향상을 가져오지 않았다.
이상에서 설명한 바와 같이, 본 발명에 따라 실리콘 산화물을 효과적으로 기체상 에칭할 수 있게 된다.

Claims (19)

  1. a) 처리실내 기판을 180-600nm 파장범위의 빛으로 조사된 할로겐 가스에 노출시켜 전처리하는 단계, 및
    b) HF와 촉매를 포함하여 구성되는 기체상(gas-phase) 반응물 시스템을 이용하여 기판으로부터 실리콘 산화물을 에칭하는 단계를 포함하는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  2. 삭제
  3. 삭제
  4. 제 3 항에 있어서,
    상기 촉매가 ROH이고, 여기서 R이 H 또는 알킬기인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  5. 제 1 항에 있어서,
    상기 단계 a)에서 할로겐 가스가 플루오르, 염소, 브롬 또는 할로겐화 가스(interhalogen)인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  6. 제 5 항에 있어서,
    상기 할로겐 가스가 처리실내의 총 가스 부피를 기초로 99.5% 까지의 산소와의 혼합물내에 있는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  7. 제 6 항에 있어서,
    상기 할로겐 가스가 플루오르이고, 산소 대 플루오르의 부피비가 약 99.5:0.5에서 약 80:20까지인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  8. 제 1 항에 있어서,
    상기 할로겐 가스가 비활성 가스와의 혼합물내에 있는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  9. 제 1 항에 있어서,
    상기 기판이 Si, SiO2 또는 갈륨 비소로 만들어지는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  10. 제 1 항에 있어서,
    상기 기판에 상기 전처리 단계와 상기 에칭 단계간의 보관 인터벌(storage interval)이 제공되는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  11. 제 10 항에 있어서,
    상기 기판이 적어도 상기 보관 간격의 일부 동안 진공하에 플라스틱 용기내에 보관되는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  12. 제 1 항에 있어서,
    상기 UV 조사가 180-420nm 범위내에 있는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  13. 제 1 항에 있어서,
    상기 전처리 단계가 시작될 때의 기판 온도가 약 주위온도에서 약 250℃까지인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  14. 제 13 항에 있어서,
    상기 UV 조사가 상기 조사 단계동안 펄스발생되는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  15. 제 14 항에 있어서,
    상기 UV 펄스의 지속기간, 주파수 및 플럭스(flux)가 전처리 단계의 끝에서 약 35℃와 약 75℃ 사이의 기판 온도를 제공하도록 제어되는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  16. 제 1 항에 있어서,
    상기 전처리 단계 동안 처리실내 압력이 약 10mTorr에서 약 주위 압력까지인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  17. 제 16 항에 있어서,
    단계 a)에서 상기 할로겐 가스가 유동성 가스 리짐(regime)으로 산소 또는 비활성 가스 또는 양자 모두와의 혼합물로 임의로 제공되며, 상기 총 가스 흐름 속도가 10sccm에서 약 5000sccm까지인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  18. 제 17 항에 있어서,
    상기 가스 흐름이 기판상에 선대칭 흐름 패턴으로 제공되는 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
  19. 제 5 항에 있어서,
    상기 할로겐 가스가 염소 가스인 것을 특징으로 하는 기판으로부터 실리콘 산화물을 기체상 에칭하기 위한 공정.
KR10-1999-7003812A 1996-10-31 1997-10-24 건식 산화물 에칭용 자외선/할로겐 처리 KR100500908B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/739,884 US5922219A (en) 1996-10-31 1996-10-31 UV/halogen treatment for dry oxide etching
US08/739,884 1996-10-31
US8/739,884 1996-10-31

Publications (2)

Publication Number Publication Date
KR20000052940A KR20000052940A (ko) 2000-08-25
KR100500908B1 true KR100500908B1 (ko) 2005-07-14

Family

ID=24974182

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7003812A KR100500908B1 (ko) 1996-10-31 1997-10-24 건식 산화물 에칭용 자외선/할로겐 처리

Country Status (6)

Country Link
US (1) US5922219A (ko)
EP (1) EP0946978B1 (ko)
JP (1) JP3256549B2 (ko)
KR (1) KR100500908B1 (ko)
DE (1) DE69723106T2 (ko)
WO (1) WO1998019336A1 (ko)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6465374B1 (en) 1997-10-21 2002-10-15 Fsi International, Inc. Method of surface preparation
US6221168B1 (en) * 1998-06-16 2001-04-24 Fsi International, Inc. HF/IPA based process for removing undesired oxides form a substrate
US6740247B1 (en) * 1999-02-05 2004-05-25 Massachusetts Institute Of Technology HF vapor phase wafer cleaning and oxide etching
US6284006B1 (en) * 1999-11-15 2001-09-04 Fsi International, Inc. Processing apparatus for microelectronic devices in which polymeric bellows are used to help accomplish substrate transport inside of the apparatus
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US20040182315A1 (en) * 2003-03-17 2004-09-23 Tokyo Electron Limited Reduced maintenance chemical oxide removal (COR) processing system
US8603292B2 (en) * 2009-10-28 2013-12-10 Lam Research Corporation Quartz window for a degas chamber
US8584612B2 (en) * 2009-12-17 2013-11-19 Lam Research Corporation UV lamp assembly of degas chamber having rotary shutters
US8492736B2 (en) 2010-06-09 2013-07-23 Lam Research Corporation Ozone plenum as UV shutter or tunable UV filter for cleaning semiconductor substrates
WO2012008954A1 (en) * 2010-07-14 2012-01-19 Primaxx, Inc. Process chamber pressure control system and method
JP6017170B2 (ja) * 2012-04-18 2016-10-26 東京エレクトロン株式会社 堆積物除去方法及びガス処理装置
US11715643B2 (en) * 2019-07-18 2023-08-01 Tokyo Electron Limited Gas phase etch with controllable etch selectivity of metals

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4264374A (en) * 1978-09-25 1981-04-28 International Business Machines Corporation Cleaning process for p-type silicon surface
US4749440A (en) * 1985-08-28 1988-06-07 Fsi Corporation Gaseous process and apparatus for removing films from substrates
JPS62272541A (ja) * 1986-05-20 1987-11-26 Fujitsu Ltd 半導体基板の表面処理方法
US4857142A (en) * 1988-09-22 1989-08-15 Fsi International, Inc. Method and apparatus for controlling simultaneous etching of front and back sides of wafers
US5022961B1 (en) * 1989-07-26 1997-05-27 Dainippon Screen Mfg Method for removing a film on a silicon layer surface
JP2553946B2 (ja) * 1990-02-20 1996-11-13 信淳 渡辺 基板表面処理用ガスの供給方法
JPH06103682B2 (ja) * 1990-08-09 1994-12-14 富士通株式会社 光励起ドライクリーニング方法および装置
WO1992022084A1 (en) * 1991-05-21 1992-12-10 Advantage Production Technology, Inc. Organic preclean for improving vapor phase wafer etch uniformity
US5234540A (en) * 1992-04-30 1993-08-10 Submicron Systems, Inc. Process for etching oxide films in a sealed photochemical reactor
US5814156A (en) * 1993-09-08 1998-09-29 Uvtech Systems Inc. Photoreactive surface cleaning
US5439553A (en) * 1994-03-30 1995-08-08 Penn State Research Foundation Controlled etching of oxides via gas phase reactions
US5580421A (en) * 1994-06-14 1996-12-03 Fsi International Apparatus for surface conditioning
US5534107A (en) * 1994-06-14 1996-07-09 Fsi International UV-enhanced dry stripping of silicon nitride films
JPH0864559A (ja) * 1994-06-14 1996-03-08 Fsi Internatl Inc 基板面から不要な物質を除去する方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5326406A (en) * 1991-07-31 1994-07-05 Kawasaki Steel Corporation Method of cleaning semiconductor substrate and apparatus for carrying out the same

Also Published As

Publication number Publication date
WO1998019336A1 (en) 1998-05-07
JP3256549B2 (ja) 2002-02-12
DE69723106T2 (de) 2004-05-06
US5922219A (en) 1999-07-13
DE69723106D1 (de) 2003-07-31
WO1998019336A9 (en) 1999-07-01
EP0946978B1 (en) 2003-06-25
JP2000514606A (ja) 2000-10-31
KR20000052940A (ko) 2000-08-25
EP0946978A1 (en) 1999-10-06

Similar Documents

Publication Publication Date Title
KR100500908B1 (ko) 건식 산화물 에칭용 자외선/할로겐 처리
US4028155A (en) Process and material for manufacturing thin film integrated circuits
US5407867A (en) Method of forming a thin film on surface of semiconductor substrate
US5174881A (en) Apparatus for forming a thin film on surface of semiconductor substrate
US5968279A (en) Method of cleaning wafer substrates
US3867216A (en) Process and material for manufacturing semiconductor devices
EP0430303A2 (en) Improved process for selective deposition of tungsten on semiconductor wafer
US5275687A (en) Process for removing surface contaminants from III-V semiconductors
US6551947B1 (en) Method of forming a high quality gate oxide at low temperatures
US7270724B2 (en) Scanning plasma reactor
EP0653787A1 (en) Method for preparation of silicon nitride gallium diffusion barrier for use in molecular beam epitaxial growth of gallium arsenide
US20030224619A1 (en) Method for low temperature oxidation of silicon
JPH0955365A (ja) 半導体基板の表面清浄方法
JP2883918B2 (ja) 化合物半導体のパターン形成方法
JPH02143420A (ja) シリコン基板上のヘテロエピタキシャル膜の製造方法
JP2917900B2 (ja) Iii −v族化合物半導体基板の表面処理方法
JP3357951B2 (ja) ドライエッチング方法
JPH08325100A (ja) 化合物半導体基板の前処理方法
JP2998336B2 (ja) 化合物半導体のエッチング方法および半導体構造の形成方法
JPS6276632A (ja) 表面処理装置
JPH0517291A (ja) ダイヤモンド薄膜堆積用基板の処理方法
JPH04364731A (ja) エッチング方法
JPH04110471A (ja) 薄膜形成方法
JPH1022255A (ja) 洗浄方法及び洗浄装置
JPH1174233A (ja) レーザーアシスト低温エッチング方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee