KR100485915B1 - 대규모집적회로의타이밍열화시뮬레이션장치및시뮬레이션방법 - Google Patents

대규모집적회로의타이밍열화시뮬레이션장치및시뮬레이션방법 Download PDF

Info

Publication number
KR100485915B1
KR100485915B1 KR1019970042544A KR19970042544A KR100485915B1 KR 100485915 B1 KR100485915 B1 KR 100485915B1 KR 1019970042544 A KR1019970042544 A KR 1019970042544A KR 19970042544 A KR19970042544 A KR 19970042544A KR 100485915 B1 KR100485915 B1 KR 100485915B1
Authority
KR
South Korea
Prior art keywords
lsi
degradation
deterioration
delay
circuit cell
Prior art date
Application number
KR1019970042544A
Other languages
English (en)
Other versions
KR19980019143A (ko
Inventor
히로카즈 요네자와
Original Assignee
마츠시타 덴끼 산교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마츠시타 덴끼 산교 가부시키가이샤 filed Critical 마츠시타 덴끼 산교 가부시키가이샤
Publication of KR19980019143A publication Critical patent/KR19980019143A/ko
Application granted granted Critical
Publication of KR100485915B1 publication Critical patent/KR100485915B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/261Functional testing by simulating additional hardware, e.g. fault simulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

본 발명은 LSI의 설계에 있어서, 신뢰성에 대한 과잉 사양을 피하기 위해 실제 LSI의 시간 경과적 열화를 설계 단계에서 예측하고, LSI의 열화후의 동작을 시뮬레이션하는 장치 및 방법을 제공하기 위한 것으로, 신뢰성 라이브러리 생성 장치(1)는 회로 신뢰성 시뮬레이터(4)를 구동하여 각 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리(6)를 생성하고, 셀 지연 열화 추정 수단(2)은 LSI의 각 회로 셀의 지연의 시간경과적인 열화 정도(11)를 신뢰성 라이브러리(6)를 참조하여 추정하고, 지연 계산기(12) 내에 설치된 LSI 타이밍 열화 추정 수단(18)은 열화후의 LSI에서의 각 회로 셀의 지연을 셀 지연 열화 정도(11)에 기초하여 추정하고, 열화후 LSI 타이밍(14)을 생성하여, 논리 시뮬레이터(15)는 열화후 LSI 타이밍(14)을 기초로 하여 LSI의 열화후의 동작을 시뮬레이션한다. 따라서 시뮬레션에 의해 LSI의 각 신호 패스의 타이밍 열화가 실제 동작에 입각하여 정밀하게 표현된다.

Description

대규모 집적회로의 타이밍 열화 시뮬레이션 장치 및 시뮬레이션 방법{AN APPARATUS AND METHOD FOR SIMULATING LSI TIMING DEGRADATION}
본 발명은 핫 캐리어 현상 등에 기인하는 LSI의 시간 경과적인 특성 열화를 예측하여 LSI의 타이밍 시뮬레이션에 반영시키는 기술에 관한 것이다.
반도체 대규모 집적 회로(이하 LSI라 함)에는 수명이 있어, 어느 기간 동작한 후에 고장이나 동작 불량을 일으킨다. LSI의 고장이나 동작 불량의 주된 원인으로서는 핫 캐리어 현상에 기인하는 특성 열화나 일렉트로 마이그레이션에 의한 배선의 단선 등이 있다. 특히 핫 캐리어 현상은 트랜지스터의 구동 능력을 열화시켜 이에 따라 LSI의 동작 타이밍이 시간의 경과와 함께 변화하여 결국 오동작에 이르게 된다.
최근의 LSI에서는 제조 기술의 발달과 동시에 디바이스의 미세화가 급속히 진행되어, 디바이스 내에 생기는 고전계에 의해서 고에너지 전자인 핫 캐리어가 발생되기 쉽고, 핫 캐리어 현상에 대한 신뢰성 확보가 점점 어렵게 되고 있다.
LSI의 신뢰성 확보를 위해, 예컨대 일정 기간의 동작을 보증하기 위해 동작 타이밍의 시간 경과적 변화에 대한 충분한 여유를 마련하기 위해서 전체 회로의 동작 타이밍에 일률적으로 적당한 마진을 포함하게 하는 방법이 고려된다. 그런데 이 방법에서는 최악의 경우를 생각하여 마진을 설정하기 때문에 LSI로서는 과잉의 사양에 빠지기 쉽다. 통상, LSI의 신뢰성과 성능은 트레이드 오프의 관계에 있고, 과잉의 신뢰성을 갖게 하는 것은 LSI의 성능을 저하시키는 결과가 된다. 따라서, 이러한 방법으로는 고성능 LSI를 개발하는 것은 곤란하였다.
또한 이러한 문제를 해결하기 위해 종래부터 다음과 같은 방법도 이용되고 있다. 즉, LSI를 구성하는 회로 단위인 회로 셀(예컨대 특정 용도용 집적 회로(AS IC)에 이용되는 스탠다드 셀 라이브러리에 속하는 인버터 등의 셀)마다 LSI의 동작시의 소정의 동작 조건의 값을 조사하여, 각 회로 셀에 대하여 조사한 동작 조건의 값이 열화량이나 수명이 미리 정한 목표값을 만족시키기 위해 필요한 범위에 있는 지의 여부를 검증한다. 그리고, 열화량이나 수명이 목표값을 만족하지 않는 회로 셀을 LSI의 신뢰성의 면에서 문제가 있는 회로 셀이라고 인식하여 설계 변경 등의 대책을 취한다.
그러나 상기 종래 방법에서는 LSI를 구성하는 각 회로 셀에 대하여 열화량이나 수명의 검증을 하고 있을 뿐이고, LSI 전체로서는 신뢰성에 대하여 지나친 사양이 되는 경우가 많다. 이 점에 대하여 설명하기로 한다.
LSI는 통상, 소정의 동작 주파수에 따라서 동작한다. 바꿔말하면, 이 소정의 동작 주파수로부터 결정되는 소정의 주기가 LSI에서의 처리 시간의 단위로 된다. 한편, LSI에서는, 신호는 이 소정의 주기 사이에 몇개의 회로 셀로 이루어지는 신호 패스를 흐른다. 이 때, 신호 패스에서의 신호 전파 지연이 열화에 의해서 길어지고, 소정의 주기를 넘으면 LSI의 동작 타이밍이 이상하게 되어 오동작이 생기게 된다. 반대로 말하면, 신호 패스에 있어서의 신호 전파 지연이 열화에 의해서 길어지더라도 소정의 주기를 넘지 않으면 LSI의 동작 타이밍은 정상이며, 오동작은 생기지 않는다.
여기서, 신호 패스 A, B를 상정하여, 신호 패스 A에서의 신호 전파 지연은 소정의 주기와 거의 같으며(즉, 지연 열화에 약함), 한편, 신호 패스 B에서의 신호 전파 지연은 소정의 주기에 비해 상당히 작은(즉, 지연 열화에 강한) 것으로 한다. 또, 신호 패스 A, B는 모두 같은 동작 조건값의 회로 셀을 포함하고 있고, 또한 그 동작 조건의 값이, 열화량이나 수명이 목표를 만족시키기 위해 필요한 범위에 없는 것으로 한다.
이 때, 상기 종래 방법에 의하면, 신호 패스 A에 포함되는 회로 셀도, 신호 패스 B에 포함되는 회로 셀도, 모두 LSI의 신뢰성의 면에서 문제가 되는 회로 셀이라고 인식되어 설계 변경 등의 대상으로 된다. 그런데 실제로는 지연 열화에 약한신호 패스 A에 포함되는 이 회로 셀은 LSI의 신뢰성의 면에서 문제로 하여야 하지만, 지연 열화에 강한 신호 패스 B에 포함되는 이 회로 셀은 LSI의 신뢰성의 면에서는 문제없다고 판단하는 것이 타당하다.
이와 같이, 회로 셀이 LSI의 신뢰성의 면에서 보아 문제가 되는지의 여부는, 예를 들어 같은 동작 조건이더라도, 개개의 회로 셀에 따라 다르다. 각 회로 셀에 대하여 허용되는 열화량이나 수명의 범위는 LSI의 신호의 흐름에 있어서의 그 회로셀의 위치매김에 따라 다르기 때문이다.
본 발명은 상술한 문제점을 감안하여 이루어진 것으로 LSI의 설계에 있어서 신뢰성에 대한 지나친 사양을 피하기 위해 실제의 LSI의 시간 경과적 열화를 설계단계에서 예측하여 LSI의 열화후의 동작을 시뮬레이션하는 장치 및 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해 청구항 1의 발명이 강구한 해결 수단은, LSI의 시간 경과적 열화를 설계 단계에서 예측하고, LSI의 열화후의 동작을 시뮬레이션하는 LSI의 타이밍 열화 시뮬레이션 장치에 있어서, 대상으로 하는 LSI를 구성하는 각 회로 셀 지연의 시간 경과적인 열화 정도를 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리를 참조하면서 상기 LSI의 동작시의 해당 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하는 셀 지연 열화 추정 수단과, 상기 셀 지연 열화 추정 수단에 의해 추정된 각 회로 셀의 지연 열화 정도에 기초하여 시간 경과적으로 열화한 상기 LSI에서의 각 회로 셀의 지연을 추정하는 LSI 타이밍 열화 추정 수단을 포함하며, 상기 LSI 타이밍 열화 추정 수단에 의해서 추정된 열화후의 상기 LSI에서의 각 회로 셀의 지연에 기초하여 상기 LSI의 열화후의 동작을 시뮬레이션하는 것으로 한다.
청구항 1의 발명에 의하면 셀 지연 열화 추정 수단에 의해서 대상으로 하는 LSI를 구성하는 각 회로 셀의 지연 열화 정도가 추정된다. 이 때, 상기 셀 지연 열화 추정 수단은 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리를 참조하면서, 상기 LSI의 동작시의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정을 하기 때문에, 상기 LSI의 실제 동작이 반영된 각 회로 셀의 지연 열화 정도를 얻을 수 있다. 이 회로 셀의 지연 열화 정도에 기초하여 LSI 타이밍 열화 추정 수단에 의해 시간 경과적으로 열화한 상기 LSI에서의 각 회로 셀의 지연이 추정된다. 그리고, 이 열화후의 상기 LSI에서의 각 회로 셀의 지연에 따라 상기 LSI의 열화후의 동작이 시뮬레이션된다. 이 때문에 시뮬레이션에 따라 LSI의 각 신호 패스의 타이밍 열화가 실제 동작에 입각하여 정밀하게 표현된다. 따라서, LSI의 설계에 있어서, LSI의 시간 경과적 열화를 예측 할 수 없는 것에 기인하는 신뢰성에 대한 지나친 사양을 피할 수 있고, 신뢰성 및 성능의 양면에서 적절한 LSI의 설계를 할 수 있다.
그리고 청구항 2의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치는 각 회로 셀에 대하여 당해 회로 셀을 구성하는 트랜지스터의 특성 열화 정도를 회로 신뢰성 시뮬레이터에 의해 구하고, 구한 각 트랜지스터의 특성 열화 정도로부터 당해 회로 셀의 특성 열화 정도의 상기 소정의 동작 조건에 대한 의존성을 구함으로써 상기 신뢰성 라이브러리를 생성하는 신뢰성 라이브러리 생성 장치를 포함하는 것으로 한다.
또, 청구항 3의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치는 열화전의 상기 LSI에서의 각 회로 셀의 지연을 추정하는 지연 계산기를 포함하며, 상기 지연 계산기에 의해 추정된 열화전의 상기 LSI에서의 각 회로 셀의 지연에 따라 상기 LSI의 열화전의 동작을 시뮬레이션하는 것으로 한다.
그리고 청구항 4의 발명에서는 상기 청구항 3의 LSI 타이밍 열화 시뮬레이션 장치에서의 LSI 타이밍 열화 추정 수단은 상기 지연 계산기 내에 설치되는 것으로 한다.
또, 청구항 5의 발명에서는 상기 청구항 3의 LSI의 타이밍 열화 시뮬레이션 장치에서의 셀 지연 열화 추정 수단 및 LSI 타이밍 열화 추정 수단은 모두 상기 지연 계산기 내에 설치되는 것으로 한다.
또, 청구항 6의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도를 이용하는 것으로 한다.
그리고 청구항 7의 발명에서는 상기 청구항 6의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 소정의 동작 조건으로서, 회로 셀의, 입력 신호의 상승 하강 시간과, 출력 부하 용량과, 입력 신호의 스위칭 회수를 이용하는 것으로 한다.
또, 청구항 8의 발명에서는 상기 청구항 7의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 복수의 입력단자를 갖는 회로 셀에 대하여, 하나의 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도에 대하여, 다른 입력 단자로의 입력 신호의 스위칭 회수 및 상승 하강 시간을 소정의 동작 조건으로서 이용하는 것으로 한다.
또, 청구항 9의 발명에서는 상기 청구항 7의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀에 인가되는 전원 전압을 이용하는 것으로 한다.
또, 청구항 10의 발명에서는 상기 청구항 7의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀의 온도를 이용하는 것으로 한다.
그리고 청구항 11의 발명에서는 상기 청구항 6의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서 출력 신호의 상승 하강 시간의 열화 정도를 이용하는 것으로 한다.
또, 청구항 12의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의, 적어도 셋 업 시간과 홀드 시간을 포함하는 타이밍 체크값의 소정의 동작 조건에 대한 의존성을 나타내는 것이며, 당해LSI의 타이밍 열화 시뮬레이션 장치는, 대상으로 하는 LSI를 구성하는 회로 셀의 타이밍 체크값의 열화 정도를 상기 신뢰성 라이브러리를 참조하면서 상기 LSI의 동작시에서의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하는 타이밍 체크값 열화 추정 수단을 포함하며, 또, 상기 LSI 타이밍 열화 추정 수단은 상기 타이밍 체크값 열화 추정 수단에 의해서 추정된 상기 회로 셀의 타이밍 체크값의 열화 정도에 기초하여 시간 경과적으로 열화한 상기 LSI에서의 상기 회로셀의 타이밍 체크값을 추정하는 것이며, 상기 LSI 타이밍 열화 추정 수단에 의해 추정된 상기 회로 셀의 타이밍 체크값에 따라서, 열화후의 상기 LSI에서 상기 회로 셀이 정상 동작하는지의 여부를 추정하는 것으로 한다.
또 청구항 13의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 표형식으로 나타내는 것으로 한다.
또, 청구항 14의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 함수로 나타내는 것으로 한다.
또, 청구항 15의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도를 열화전의 특성값과 열화후의 특성값의 차로 나타내는 것이며, 상기 셀 지연 열화 추정 수단은 각 회로셀의 지연 열화 정도를 열화전의 지연과 열화후 지연의 차로 나타내는 것으로 한다.
또, 청구항 16의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도를 열화전의 특성값에 대한 열화후의 특성값의 비로 나타내는 것이며, 상기 셀 지연 열화 추정 수단은 각회로 셀의 지연 열화 정도를 열화전의 지연과 열화후 지연의 비로 나타내는 것으로 한다.
또, 청구항 17의 발명에서는 상기 청구항 1의 LSI의 타이밍 열화 시뮬레이션 장치에서의 상기 셀 지연 열화 추정 수단은 상기 LSI를 구성하는 회로 셀 중 적어도 일부에 대하여, 복수의 회로 셀로 이루어지는 신호 패스를 단위로 하여 지연 열화 정도를 추정하는 것이며, 상기 LSI 타이밍 열화 추정 수단은 상기 셀 지연 열화 추정 수단에 의해서 추정된 신호 패스의 지연 열화 정도에 기초하여 시간 경과적으로 열화한 상기 LSI에서의 상기 신호 패스의 지연을 추정하는 것이고, 당해 LSI의 타이밍 열화 시뮬레이션 장치는 상기 LSI 타이밍 열화 추정 수단에 의해 추정된 열화후의 상기 LSI에서의 상기 신호 패스의 지연에 기초하여 상기 LSI의 열화후의 동작을 시뮬레이션하는 것으로 한다.
또, 청구항 18의 발명이 강구한 해결 수단은 LSI의 시간 경과적 열화를 설계 단계에서 예측하여 LSI의 열화후의 동작을 시뮬레이션하는 LSI의 타이밍 열화 시뮬레이션 방법에 있어서, 대상으로 하는 LSI를 구성하는 각 회로 셀의 지연의 시간 경과적인 열화 정도를 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리를 참조하면서 상기 LSI의 동작시의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하는 셀 지연 열화 추정 공정과, 상기 셀 지연 열화 추정 공정에서 추정한 각 회로 셀의 지연 열화 정도에 기초하여 시간 경과적으로 열화한 상기 LSI에서의 각 회로 셀의 지연을 추정하는 LSI 타이밍 열화 추정 공정과, 상기 LSI 타이밍 열화 추정 공정에서 추정한 열화후의 상기 LSI에서의 각 회로 셀의 지연에 따라 상기 LSI의 열화후의 동작을 시뮬레이션하는 시뮬레이션 공정을 구비하는 것이다.
청구항 l8의 발명에 의하면, 셀 지연 열화 추정 공정에서 대상으로 하는 LSI를 구성하는 각 회로 셀의 지연 열화 정도를 추정한다. 이 때, 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리를 참조하면서 상기 LSI의 동작시의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하기 때문에 상기 LSI의 실제 동작이 반영된 각 회로 셀의 지연 열화 정도를 얻을 수 있다. 이 회로 셀의 지연 열화 정도에 기초하여 LSI 타이밍 열화 추정 공정에서 시간 경과적으로 열화한 상기 LSI에서의 각 회로 셀의 지연을 추정한다. 그리고, 이 열화후의 상기 LSI에서의 각 회로 셀의 지연에 기초하여, 시뮬레이션 공정에서 상기 LSI의 열화후의 동작을 시뮬레이션한다. 이 때문에 시뮬레이션에 의해 LSI의 각 신호 패스의 타이밍 열화가 실제 동작에 입각하여 정밀하게 표현되게 된다. 따라서, LSI의 설계에 있어서, LSI의 시간 경과적 열화를 예측할 수 없는 것에 기인하는 신뢰성에 대한 지나친 사양을 피할 수가 있고, 신뢰성 및 성능의 양면에서 적절한 LSI의 설계를 할 수 있다.
그리고 청구항 19의 발명에서는 상기 청구항 18의 LSI의 타이밍 열화 시뮬레이션 방법은 각 회로 셀에 대하여 당해 회로 셀을 구성하는 트랜지스터의 특성 열화 정도를 회로 신뢰성 시뮬레이터를 구동하여 구하고, 구한 각 트랜지스터의 특성열화 정도로부터 당해 회로 셀의 특성 열화 정도의 상기 소정의 동작 조건에 대한 의존성을 구함으로써 상기 신뢰성 라이브러리를 생성하는 신뢰성 라이브러리 생성 공정을 구비하는 것으로 한다.
또, 청구항 20의 발명에서는 상기 청구항 18의 LSI의 타이밍 열화 시뮬레이션 방법에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서 입력 단자와 출력단자 사이의 신호 전파 지연의 열화 정도를 이용하는 것으로 한다.
그리고 청구항 21의 발명에서는 상기 청구항 20의 LSI의 타이밍 열화 시뮬레이션 방법에서의 신뢰성 라이브러리는 소정의 동작 조건으로서, 회로 셀의, 입력 신호의 상승 하강 시간과, 출력 부하 용량과, 입력 신호의 스위칭 회수를 이용하는 것으로 한다.
또, 청구항 22의 발명에서는 상기 청구항 21의 LSI의 타이밍 열화 시뮬레이션 방법에서의 신뢰성 라이브러리는 복수의 입력 단자를 갖는 회로 셀에 대하여, 하나의 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도에 대하여, 다른 입력 단자로의 입력 신호의 스위칭 회수 및 상승 하강 시간을 소정의 동작 조건으로서 이용하는 것으로 한다.
또, 청구항 23의 발명에서는 상기 청구항 21의 LSI의 타이밍 열화 시뮬레이션 방법에서의 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀에 인가되는 전원전압을 이용하는 것으로 한다.
또, 청구항 24의 발명에서는 상기 청구항 21의 LSI의 타이밍 열화 시뮬레이션 방법에서의 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀의 온도를 이용하는 것으로 한다.
그리고 청구항 25의 발명에서는 상기 청구항 20의 LSI의 타이밍 열화 시뮬레이션 방법에서의 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서, 출력 신호의 상승 하강 시간의 열화 정도를 이용하는 것으로 한다.
또, 청구항 26의 발명에서는 상기 청구항 18의 LSI의 타이밍 열화 시뮬레이션 방법에 있어서, 상기 셀 지연 열화 추정 공정은 상기 LSI를 구성하는 회로 셀 중 적어도 일부의 것에 대하여 복수의 회로 셀로 이루어지는 신호 패스를 단위로 하여 지연 열화 정도를 추정하는 것이며, 상기 LSI 타이밍 열화 추정 공정은 상기 셀 지연 열화 추정 공정에서 추정한 신호 패스의 지연 열화 정도에 기초하여 시간 경과적으로 열화한 상기 LSI에서의 상기 신호 패스의 지연을 추정하는 것이고, 상기 시뮬레이션 공정은 상기 LSI 타이밍 열화 추정 공정에서 추정한 열화후의 상기 LSI에서의 상기 신호 패스의 지연에 기초하여 상기 LSI의 열화후의 동작을 시뮬레이션하는 것으로 한다.
상술한 목적 및 기타의 목적과 본 발명의 특징 및 이점은 첨부 도면과 관련한 다음의 상세한 설명을 통해 보다 분명해 질 것이다.
( 실시예 )
이하, 본 발명의 실시예에 대하여 도면을 참조하여 설명하기로 한다.
( 제 1 실시예 )
도 1은 본 발명의 제 1 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도이다. 도 1에 도시된 바와 같이, 본 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치는, 회로 신뢰성 시뮬레이터(4)를 구동하여, 각 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리(6)를 생성하는 신뢰성 라이브러리 생성 장치(1)와, 대상으로 하는 LSI를 구성하는 각 회로 셀의 지연의 시간 경과적인 열화 정도(셀 지연 열화 정도(11))를, 신뢰성 라이브러리(6)를 참조하면서 추정하는 셀 지연 열화 추정 수단(2)과, 대상으로 하는 LSI에서의 각 회로 셀의 지연을 계산하는 지연 계산기(12)와, 각 회로 셀의 지연을 포함하는 LSI 타이밍을 기초로 하여 LSI의 동작을 시뮬레이션하는 논리 시뮬레이터(15)를 구비하고 있다. 지연 계산기(12)는 셀 지연 열화 정도(11)에 기초하여 시간 경과적으로 열화한 LSI에서의 각 회로 셀의 지연을 추정하는 LSI 타이밍 열화추정 수단(18)을 구비하고 있다. 논리 시뮬레이터(15)는 열화전의 LSI에서의 각 회로 셀의 지연을 포함하는 열화전 LSI 타이밍(8)을 기초로 하여, LSI의 열화전의 동작을 시뮬레이션하여 열화전 결과(10)를 생성하는 한편, 열화후의 LSI에서의 각 회로 셀의 지연을 포함하는 열화후 LSI 타이밍(14)을 기초로 하여, LSI의 열화후의 동작을 시뮬레이션하여 열화후 결과(17)를 생성한다.
도 1에 도시된 본 실시예에 관한 LSI의 타이밍 열화 시뮬레이션 장치의 동작을 설명하기로 한다.
( 신뢰성 라이브러리 생성 공정 )
도 2는 회로 셀의 일반적인 구성을 개념적으로 도시한 도면이다. 도 2에 도시된 바와 같이 대부분의 경우, 회로 셀(20)은 입력 단자(21), 출력 단자(22), 전원 단자(23) 및 접지 단자(24)를 갖는다. 셀 네트리스트(7)에는 여러가지의 회로 셀의 네트리스트가 저장되어 있다. 회로 셀에는 ASIC의 스탠다드 셀 라이브러리에 일반적으로 준비되어 있는 인버터나 NAND 게이트, NOR 게이트, 플립 플롭 등이 있다. 또한 상기 범용 회로 셀 이외에도 LSI를 구성하기 위해 필요한 회로 셀을 만들어 이것을 신뢰성 라이브러리(6)를 생성하는 대상으로 할 수도 있다. 셀 네트리스트(7)에 저장된 네트리스트에는, 예를 들어, SPICE 포맷이 이용된다. 셀 네트리스트(7)에는 각 회로 셀 중의 트랜지스터의 크기나 접속에 관한 정보, 수동 소자 및 기생 소자의 값이나 접속에 관한 정보 등이 포함되어 있다. 프로세스 파라미터(5)에는 SPICE 파라미터나 열화를 나타내기 위한 파라미터, 제조 상의 파라미터 등이 저장되어 있다. SPICE 포맷 및 SPICE 파라미터는, 예를 들면 META-SOFTWARE사 발행의 HSPICE USER'S MANUAL(1996년 발행)에 기재되어 있다.
신뢰성 라이브러리 생성 장치(1)는 대상으로 하는 회로 셀의 종류를 우선 정하고, 그 회로 셀의 네트리스트를 셀 네트리스트(7)로부터 판독한다. 그리고 회로 신뢰성 시뮬레이터(4)를 구동하여, 신뢰성 모델(3)을 참조하면서 대상으로 하는 회로 셀의 입출력 단자간의 전파 지연의 열화 정도의, 소정의 동작 조건에 대한 의존성을 구한다. 회로 신뢰성 시뮬레이터(4)는 프로세스 파라미터(5)를 참조하여 트랜지스터의 특성 열화를 시뮬레이션에 의해서 추정하는 것이고, 예컨대 Robert H. Tu et al. Berkeley Reliability Tools - BERT " (IEEE Transactions on Computer - Aided Design of Integrated Circuits and Systems, 1993, P1524-1534) 에 기재된 것 등이 있다.
본 실시예에서는 소정의 동작 조건으로서, 회로 셀의 출력 부하 용량과, 입력 신호의 상승 하강 시간을 이용하는 것으로 한다. 또한, 시간의 경과에 대한 열화 정도의 변화를 추정하기 위해 입력 신호의 스위칭 회수도 동작 조건으로 한다.
또한 전파 지연의 열화 정도를 열화후의 지연과 열화전의 지연의 차이분으로 나타내는 것으로 한다. 도 3은 인버터의 입력 신호와 출력 신호의 열화전과 열화후의 파형을 간단히 도시한 도면이다. 도 3에서 실선은 열화전의 신호파형, 점선은 열화후의 신호 파형을 도시한다. 또, VDD는 전원 전위, GND는 접지 전위를 나타낸다. 도 3에 도시된 바와 같이 열화전의 지연을 tl로 하고, 열화후의 지연을 t2로 하면, 수학식 1로 나타낸 바와 같은 열화후 지연(t2)과 열화전 지연(t1)의 차이분(△t)에 의해 전파 지연의 열화 정도를 나타내게 한다. 이 차이분(△t)을 지연 열화량이라 한다.
△t = t2 - t1
신뢰성 라이브러리 생성 장치(1)는 상기 3가지의 동작 조건의 값을 각각 소정의 값으로 설정하고, 이미 판독된, 대상으로 하는 회로 셀의 네트리스트의 정보와 함께 회로 신뢰성 시뮬레이터(4)에 넘겨 주고, 회로 신뢰성 시뮬레이터(4)를 구동한다. 회로 신뢰성 시뮬레이터(4)는 상기 회로 셀의 각 트랜지스터의 특성 열화 정도를 구한다. 신뢰성 라이브러리 생성 장치(1)는 회로 신뢰성 시뮬레이터(4)로부터 상기 회로 셀의 각 트랜지스터의 특성 열화 정도를 받아, 신뢰성 모델(3)을 참조하면서 상기 회로 셀의 지연 열화량을 구한다. 이러한 동작을 상기 3가지의 동작 조건의 값을 적절한 범위에서 변화시키면서 행함으로써 상기 회로 셀의 지연 열화량의 상기 3가지의 동작 조건에 대한 의존성을 구한다. 이 지연 열화량의 동작 조건 의존성은 함수나 표로 나타내어지고 신뢰성 라이브러리(6)에 출력된다. 이상과 같은 동작을 셀 네트리스트(7)에 저장된 전체 종류의 회로 셀에 대하여 차례로 행하여간다.
이 결과, 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리(6)가 생성되게 된다. 본 실시예에 따른 신뢰성 라이브러리(6)에서는 회로 셀의 특성 열화 정도로서 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도가 이용되는 동시에, 소정의 동작 조건으로서 회로 셀의 입력 신호의 상승 하강 시간과 출력 부하 용량 및 입력 신호의 스위칭 회수가 이용된다.
도 4는 본 실시예에 따른 신뢰성 라이브러리(6)가 갖는, 회로 셀의 지연 열화량의 동작 조건 의존성을 나타내는 정보를 도시한 도면이고, 표로 나타낸 경우의 1회로 셀의 1 입출력 단자 사이의 지연 열화량의 동작 조건 의존성의 예를 도시한 도면이다. 도 4에 도시된 바와 같이 3가지의 동작 조건, 즉 입력 신호의 스위칭 회수(회), 입력 신호의 상승 시간(nS) 및 출력 부하 용량(fF)의 각 값에 대하여, 지연 열화량(nS)이 나타내어져 있다.
또, 신뢰성 라이브러리(6)에 있어서, 도 4에 도시된 바와 같이 정보를 함수로 나타내는 것도 가능하다. 이 경우에는, 신뢰성 모델(3)에 미지수를 포함하는 함수의 식을 저장해 두고, 이 함수가 예컨대 도 4의 표의 각 동작 조건과 지연 열화량의 관계에 맞도록 커브 피팅(curve fitting)에 의해 그 미지수를 결정하면 된다.
지연 계산기(12)는 대상으로 하는 LSI를 구성하는 각 회로 셀의 접속 정보가 기술된 LSI 네트리스트(9)와 각 회로 셀의 지연의 소정 동작 조건에 대한 의존성이 기술된 지연 라이브러리(13)를 판독하여 상기 LSI를 구성하는 전체 회로 셀의 지연을 계산한다.
LSI 네트리스트(9)는 DSPF(Detailed Standard Parasitic File, 예컨대 Cadence Design Systems, Inc.의 Cadence Standard Parasitic Format(1993) P.8-20에 기재) 등의 서식으로 기술된다. 또 고정밀화를 위해서는 LSI 네트리스트(9)에는, 회로셀 사이의 배선의 기생 용량이나 기생 저항등의 기생 소자 정보도 포함하여 기술되어 있는 것이 바람직하다. 또한 지연 라이브러리(13)에는 소정의 동작 조건으로서 회로 셀의 출력 부하 용량과 입력 신호의 상승 하강 시간이 주어져 있다. 즉, 지연 라이브러리(13)에는 열화하지 않을 때의 각 회로 셀의 지연 동작 조건 의존성이 저장되어 있다.
여기에서는 예를 들어 도 5에 도시된 신호 패스에 있어서의 인버터(31)의 입출력 단자간의 전파 지연을 계산하는 경우를 생각한다. 도 5에 도시된 바와 같은 신호 패스는 LSI 네트리스트(9)에 기술되어 있다. 우선 인버터(31)의 출력 단자(36)에 연결되는 부하 용량을 구한다. 이 경우, 배선 기생 소자(34)의 용량과 인버터(31)의 출력 단자(36)에 접속된 인버터(32)의 입력 용량의 합이 부하 용량이 된다. 다음에 입력 단자(35)로의 입력신호의 상승 하강 시간을 구한다. 이것을 위해서는 배선 기생 소자(33)의 용량과 인버터(3l)의 입력 용량의 합을 부하 용량으로 하였을 때의, 2입력 NAND 게이트(30)의 출력 신호의 상승 하강 시간을 구하면 된다. 이와 같이 하여 인버터(3l)에 대하여 출력 부하 용량 및 입력 신호 상승 하강 시간이 구해지고, 이들을 기초로 하여 지연 라이브러리(13)를 참조하면서 인버터(31)의 입출력 단자간의 전파 지연을 계산한다.
지연계산기(12)는 이상과 같은 처리를 LSI를 구성하는 전체 회로 셀에 대하여 행하고, 그 결과를 열화전 LSI 타이밍(8)에 출력한다. 열화전 LSI 타이밍(8)은 SDF(Standard Delay Format, 예컨대 0pen Verilog Internationa1 발행의 Standard Delay Format Specification Version 3.0, 1995에 기재) 등의 서식으로 기술된다.
입력 벡터(16)는 LSI를 논리 시뮬레이션시키기 위해 필요하게 된다. 시계열(時系列)로 기술된 LSI의 입력 신호 패턴이다. 논리 시뮬레이터(15)는 입력 벡터(16)와 열화전 LSI 타이밍(8)을 판독하고, LSI의 열화전의 동작 타이밍을 고려한 논리시뮬레이션을 실행하여, 이 시뮬레이션 결과를 열화전 결과(10)로 출력한다.
( 셀 지연 열화 추정 공정 )
셀 지연 열화 추정 수단(2)은 대상으로 하는 LSI를 구성하는 회로 셀 중에서 우선 대상으로 하는 회로 셀을 정하고, 이 회로 셀에 대하여 상기 LSI의 동작시의 상기 동작 조건의 값을 추출한다. 구체적으로는 LSI 네트리스트(9)로부터 출력 부하 용량(9a)을, 열화전 LSI 타이밍(8)으로부터 입력 신호의 상승 하강 시간(8a)을, 열화전 결과(l0)로부터 입력 신호의 스위칭 회수(10a)를 추출한다.
다음에 신뢰성 라이브러리(6)를 참조하면서, 추출한 동작 조건의 값에 기초하여 대상으로 하는 회로 셀의 지연 열화량을 추정한다. 신뢰성 라이브러리(6)에 있어서 회로 셀의 지연 열화량의 동작 조건 의존성을 함수에 의해 나타내고 있는 경우는, 추출한 동작 조건의 값을 함수에 대입하고 대상으로 하는 회로 셀의 지연 열화량을 구한다. 한편, 신뢰성 라이브러리(6)에 있어서 회로 셀의 지연 열화량의 동작 조건 의존성을 표로 나타내고 있는 경우는, 추출한 동작 조건의 값에 따라 적당히 보간하여, 대상으로 하는 회로 셀의 지연 열화량을 구하면 된다. 이러한 처리를, 대상으로 하는 LSI를 구성하는 전회로 셀에 대하여 행한 결과를 셀 지연 열화 정도(l1)로서 출력한다.
( LSI 타이밍 열화 추정 공정 )
지연 계산기(12)는 이번은 LSI 타이밍 열화 추정 수단(l8)에 의해 LSI 네트리스트(9) 및 지연 라이브러리(13)에 더하여 셀 지연 열화 정도(1l)를 판독하여 시간 경과적으로 열화한 상기 LSI에서의 각 회로 셀의 지연을 계산한다. LSI 네트리스트(9) 및 지연 라이브러리(13)로부터는 열화전의 LSI에서의 각 회로 셀의 지연이 구해지므로 이것에 차이분으로 나타낸 셀 지연 열화 정도(11)를 더한다. 이 결과는 열화후 LSI 타이밍(14)으로서 출력된다. 열화후 LSI 타이밍(14)도 열화전 LSI 타이밍(8)과 같이, SDF 등의 서식으로 기술된다.
논리 시뮬레이터(15)는 열화전의 LSI에 대한 경우와 마찬가지로 입력 벡터(16) 및 열화후 LSI 타이밍(14)을 판독하여 LSI의 열화후의 동작 타이밍을 고려한 논리 시뮬레이션을 실행하고, 이 시뮬레이션 결과를 열화후 결과(17)로서 출력한다.
이와 같이 본 실시예에 의하면 신뢰성 라이브러리(6)를 참조하여, 대상으로 하는 LSI의 회로 셀의 지연 열화 정도를 개별적으로 구하고, 이 각 회로 셀의 지연 열화 정도에 따라 시간 경과적으로 열화한 LSI에서의 각 회로 셀의 지연을 추정한뒤에, LSI의 열화후의 동작 시뮬레이션을 하기 때문에 LSI의 실제 동작에서의 신호 패스의 지연 열화 현상을 고려한 시뮬레이션을 할 수 있다. 이에 따라, LSI의 설계에 있어서, 신뢰성에 대한 지나친 사양을 피할 수 있다.
또 본 실시예에서는 회로 셀의 입력 신호의 상승 하강 시간은 전단의 회로 셀의 출력 부하 용량만으로 구하는 것으로 하였지만, 배선 기생 저항에 의해 신호 파형이 변화하는 효과를 도입하여 구해도 된다. 이 경우는 보다 고정밀도인 입력 신호의 상승 하강 시간을 얻을 수 있다.
또 본 실시예에서는 신뢰성 모델(3)은 회로 셀의 지연 열화 정도의 동작 조건 의존성을 나타내기 위한 함수식을 정의하는 것으로서 신뢰성 라이브러리 생성 장치(1) 외부에 구성되어 있지만, 이것은 여러 가지의 함수식을 준비해 두고, 신뢰성 라이브러리 생성 장치(1)측에서 임의의 함수식을 선택할 수 있도록 한 것이다. 이에 대하여, 장치의 간략화를 위해 신뢰성모델(3)을 신뢰성 라이브러리 생성 장치(1)에 조합하는 구성으로 해도 좋다.
또한 본 실시예에서는 회로 셀로서 1입력 1출력인 인버터를 예로 들어 설명하였지만, 입력 단자 및 출력 단자 중 적어도 한쪽이 복수개 있는 회로 셀에 대해서도 마찬가지로 지연의 열화 정도를 추정할 수 있다. 또, 이 경우에는, 각 입력 단자와 각 출력 단자 사이의 지연에 대하여, 즉 입력 단자와 출력 단자의 조합의 수의 지연에 대하여, 열화 정도를 추정해야 한다.
또, 본 실시예에서는 셀 지연 열화 정도(11)를 추정하기 위해서, 논리 시뮬레이션 결과인 열화전 결과(1O)로부터 입력 신호 스위칭 회수(1Oa)를 추출하여 이용하였으나 이 경우, 예컨대 10년간의 동작후의 열화를 추정하고자 하면, 10년분의 동작을 나타내는 입력 벡터(16)를 논리 시뮬레이터(15)에 주지 않으면 안되게 된다. 이것은 비현실적이고, 실제로는 입력벡터(16)에 의해 일정 기간의 동작을 나타내어, 열화 추정 기간은 이 일정 기간의 반복이라고 간주하고 입력 신호 스위칭회수(1Oa)를 근사 계산으로 구하면 된다. 입력 벡터(16)가 나타내는 일정 기간을 T1, 입력 벡터(16)가 나타내는 일정 기간(T1) 내의 입력 신호 스위칭 회수를 N1, 열화 추정 기간을 T2로 하면, 다음과 같은 수학식 2에 따라 열화 추정 기간(T2) 내의 입력 신호 스위칭 회수(N2)를 구할 수 있다.
N2 = (T2/T1) ·N1
또한, 일정 기간(T1) 내의 동작을 나타내는 입력 벡터(16)에 의해서 논리 시뮬레이션을 실행하여, 이 때의 열화전 결과(10)로부터 입력 신호 스위칭 확률(P)을 추출하여, 동작 주파수(f) 및 열화 추정 기간(T2)으로부터, 다음과 같은 수학식 3에 따라 열화 추정 기간(T2) 내의 입력 신호 스위칭 회수(N2)를 구해도 된다.
N2 = T2 ·f ·P
또한, 본 실시예에서는 셀 지연 열화 추정 수단(2)은 배선 용량과 셀의 입력 용량의 합인 출력 부하 용량(9a)을 LSI의 네트리스트(9)로부터 추출하는 것으로 하였으나, 배선 용량을 LSI 네트리스트(9)로부터 추출하는 동시에, 셀의 입력 용량을 미리 지연 라이브러리(13)에 저장해 두고, 이 지연 라이브러리(13)로부터 추출하여 셀 지연 열화 추정 수단(2)이 양자를 도와 출력 부하 용량으로 하는 형태로 해도 된다.
또, 본 실시예에서는 열화전 LSI 타이밍(8) 및 열화후 LSI 타이밍(14)은 회로 셀만의 지연을 포함하는 것으로 하고 있었으나, 회로 셀간의 배선 지연도 포함하는 형태로 해도 된다. 이 경우, 지연 계산기(12)가 LSI 네트리스트(9)로부터 배선저항이나 배선 용량 등의 기생 소자의 정보를 판독하는 동시에 지연 라이브러리(13)로부터 출력 단자의 구동 특성(예를 들면 출력 임피던스나 출력 전류)을 판독하고, 양자로부터 회로 셀의 출력 단자에 접속되어 있는 배선의 지연을 계산하고, 열화전 LSI 타이밍(8) 또는 열화후 LSI 타이밍(14)으로 출력하는 것으로 하면 된다. 이로써, 논리 시뮬레이션의 고정밀화를 달성할 수 있다.
또, 신뢰성 라이브러리(6)에 회로 셀의 출력 단자의 구동 특성 변화량의 동작 조건 의존성을 갖게 하여, 셀 지연 열화 추정 수단(2) 및 LSI 타이밍 열화 추정 수단(18)이 지연 열화량의 계산과 마찬가지로 구동 특성 열화량을 계산하고, 열화후의 구동 특성에 기초하여 배선 지연을 구하고, 열화후 LSI 타이밍(14)으로 출력함으로써 열화후의 회로 셀의 특성 변화에 의해 배선 지연이 변화하는 효과도 고려할 수 있다.
또, 회로 셀은 CMOS의 경우, N형 MOS 트랜지스터 및 P형 MOS 트랜지스터로 구성되지만, 핫 캐리어 열화에 대해서는 N형에서 현저하며, P형에서도 발생되지만 N형에 비하여 일반적으로 상당히 적다. 그래서 열화는 N형에만 발생된다고 가정하여 회로 신뢰성 시뮬레이터(4)에 의한 해석을 해도 된다.
( 제 2 실시예 )
도 6은 본 발명의 제 2 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도이다. 도 6에서, 도 1에 도시된 제 1 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치와 공통의 구성 요소에는 도 1과 동일한 부호를 붙이고 있다.
도 6에 도시된 바와 같이, 본 실시예에서는 지연 계산기(12A)는 LSI 타이밍 열화 추정 수단(18)을 구비하지 않고, LSI 타이밍 열화 추정 수단(18)은 지연계산기(12A)와 별도로 설치되는 점이 제 1 실시예와 다르다. LSI 타이밍 열화 추정 수단(18)의 기능 자체는 제 1 실시예와 마찬가지이며, 셀 지연 열화 추정 수단(2)에 의해 추정된 셀 지연 열화 정도(11)를 참조하면서, 열화후 LSI 타이밍(14)을 구한다. 또한, 본 실시예에 관한 LSI의 타이밍 열화 시뮬레이션 장치 전체의 동작은 제 1 실시예와 마찬가지이며, 여기서는 상세한 설명을 생략하기로 한다.
이와 같이 본 실시예에 의하면, 제 1 실시예와 동일한 효과를 얻을 수 있는 것에 덧붙여서 지연 계산기(12A)로서 종래의 것을 이용할 수 있다는 효과가 있다.
( 제 3 실시예 )
도 7은 본 발명의 제 3 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도이다. 도 7에서 도 1에 도시된 제 1 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치와 공통의 구성 요소에는 도 1과 같은 부호를 붙이고 있다.
도 7에 도시된 바와 같이 본 실시예에서는, 지연 계산기(12B)는 LSI 타이밍 열화 추정 수단(l8)에 덧붙여 셀 지연 열화추정 수단(2)을 갖고 있고, 지연 계산기(12B)가 신뢰성 라이브러리(6)를 직접 참조하면서 열화후 LSI 타이밍(14)을 구하는 점이 제 l 실시예와 다르다. 본 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치 전체의 동작은 제 1 실시예와 마찬가지이며, 여기서는 상세한 설명을 생략하기로 한다.
이와 같이 본 실시예에 의하면, 제 1 실시예와 동일한 효과를 얻을 수 있는 것에 덧붙여서 셀 지연 열화 추정 수단(2)이지연 계산기(12B)에 내장되어 있기 때문에, 전체의 구성이 간단하게 된다.
( 제 4 실시예 )
본 발명의 제 4 실시예에 관한 LSI의 타이밍 열화 시뮬레이션 장치는 제 1 실시예와 같이 도 1에 도시된 바와 같은 구성으로 이루어지지만, 신뢰성 라이브러리(6)가 회로 셀의 출력 신호의 상승 하강 시간의 열화 정도의 소정의 동작 조건에 대한 의존성에 대해서도 정보를 갖고 있는 점이 다르다. 즉, 본 실시예에서는 신뢰성 라이브러리 생성 장치(1)는 회로 셀의 출력 신호의 상승 하강 시간의 열화 정도에 대해서도 소정의 동작 조건에 대한 의존성을 셀 네트리스트(7)에 따라서 회로 신뢰성 시뮬레이터(4)를 구동하여 구하는 것이다.
본 실시예에 관한 LSI의 타이밍 열화 시뮬레이션 장치의 동작에 대하여 설명하기로 한다. 여기서는, 제 1 실시예와 다른 점에 대하여 설명하며, 그 이외는 설명을 생략하기로 한다.
도 8은 인버터의 입력 신호와 출력 신호의 열화전과 열화후의 파형을 도시한 도면이다. 도 8에서 실선은 열화전의 신호파형, 점선은 열화후의 신호 파형이다. 도 8에 도시된 바와 같이 인버터가 열화하면, 입력 단자와 출력 단자 사이의 전파지연은 t1으로부터 t2로 변화한다. 또, 출력 트랜지스터의 구동 능력이 열화하기 때문에 출력 신호의 상승 시간도 ts1로부터 ts2로 변화한다. 또 여기서는 출력 신호의 상승 시간은 출력 신호 전압이 전원 전압 VDD의 10%부터 90%까지 변화하는 시간으로 나타나고 있다.
그래서 본 실시예에서는 신뢰성 라이브러리(6)는 회로 셀의 출력 신호의 상승 하강 시간의 열화 정도에 대해서도 회로 셀의 특성 열화 정도로서 소정의 동작 조건에 대한 의존성을 나타내는 것으로 한다. 소정의 동작 조건으로서는 제 l 실시예와 같이, 회로 셀의 입력 신호의 상승 하강 시간과, 출력 부하 용량과 입력 신호의 스위칭 회수를 이용한다. 또한 본 실시예에서는 전파 지연의 열화 정도와 같이, 회로 셀의 출력 신호의 상승 하강 시간의 열화 정도를 다음의 수학식 4와 같이 열화후의 상승 하강 시간(ts2)과 열화전의 상승 하강 시간(ts1)의 차이분(△ts) 즉 열화량으로서 나타내는 것으로 한다.
△ts = ts2 - ts1
신뢰성 라이브러리 생성 장치(1)는 지연 열화량에 덧붙여, 출력 신호의 상승 하강 시간의 열화량에 대하여, 소정의 동작 조건에 대한 의존성을 지연 열화량의 경우와 같이 하여 구한다. 즉, 상기 3가지의 동작 조건의 값을 각각 어떤 값으로 설정하고 이미 판독된, 대상으로 하는 회로 셀의 네트리스트의 정보와 동시에 회로 신뢰성 시뮬레이터(4)에 건네고, 회로 신뢰성 시뮬레이터(4)를 구동한다. 회로 신뢰성 시뮬레이터(4)는 상기 회로 셀의 각 트랜지스터의 특성 열화 정도를 구한다. 신뢰성 라이브러리 생성 장치(1)는 회로 신뢰성 시뮬레이터(4)로부터 상기 회로 셀의 각 트랜지스터의 특성 열화 정도를 받아, 신뢰성 모델 3을 참조하면서 상기 회로 셀의 출력 신호의 상승 하강 시간의 열화량을 구한다. 이러한 동작을 상기 3가지 동작 조건의 값을 적절한 범위로 변화시키면서 행함으로써 출력 신호의 상승 하강 시간의 열화량의 상기 3가지의 동작 조건에 대한 의존성을 구한다. 이 출력 신호의 상승 하강 시간의 열화량의 동작 조건 의존성은 함수나 표로 나타내어, 신뢰성 라이브러리(6)에 출력된다. 이상과 같은 동작을 셀 네트리스트(7)에 저장된 전체 종류의 회로 셀에 대하여 차례로 행하여간다.
도 9는 본 실시예에 관한 신뢰성 라이브러리(6)가 갖는, 회로 셀의 출력 신호의 상승 하강 시간의 열화량의 동작 조건 의존성을 나타내는 정보를 도시한 도면이고, 표로 나타낸 경우의 1회로 셀의 1출력 단자에서의 출력 신호의 하강 시간의 열화량의 동작 조건 의존성의 예를 도시한 도면이다. 도 9에 도시된 바와 같이 3가지의 동작 조건, 즉 입력 신호의 스위칭회수(회), 입력 신호의 상승 시간(nS) 및 출력 부하 용량(fF)의 각 값에 대하여, 출력 신호의 하강 시간 열화량(nS)이 나타내어져 있다. 또 제 1 실시예와 마찬가지로 도 9에 도시된 바와 같은 정보를 함수로 나타내는 것도 가능하다.
셀 지연 열화 추정 수단(2)은 지연 열화량 및 출력 신호의 상승 하강 시간의 열화량의 동작 조건 의존성을 나타내는 신뢰성 라이브러리(6)를 참조하면서, 대상으로 하는 회로 셀의 지연 열화량을 추정한다.
여기서는 예를 들어 도 5에 도시된 신호 패스에서의 인버터(31)의 지연 열화량을 추정하는 것으로 한다. 셀 지연 열화 추정 수단(2)은 우선 대상으로 하는 회로 셀, 즉 인버터(31)와, 그 입력 단자(35)에 접속되어 있는 전단의 회로 셀(30)을 특정하여, 그 동작 조건의 값을 추출한다. 인버터(31) 및 전단의 회로 셀(30)에 대하여, LSI 네트리스트(9)로부터 출력부하 용량(9a)을, 열화전 LSI 타이밍(8)으로부터 입력 신호 상승 하강 시간(8a)을 열화전 결과(10)로부터 입력 신호 스위칭 회수(1Oa)를 각각 추출한다.
다음에, 추출한 동작 조건의 값에 근거하여 신뢰성 라이브러리(6)를 참조하면서, 우선 전단의 회로 셀(30)의 출력 신호상승 하강 시간 열화량을 계산한다. 이 열화량을 이미 추출한 인버터(31)의 입력 신호 상승 하강 시간에 덧붙여, 열화후의 입력 신호 상승 하강 시간으로 한다. 그리고 인버터(31)에 대하여 구한 열화후 입력신호 상승 하강 시간과, 이미 추출한 출력 부하 용량(9a) 및 입력 신호 스위칭 회수(10a)에 기초하여 신뢰성 라이브러리(6)를 참조하면서 지연 열화량을 계산한다.
이와 같이 본 실시예에 의하면, 회로 셀의 지연 열화량을 지연 열화량 및 출력 신호의 상승 하강 시간의 열화량의 동작조건 의존성을 나타내는 신뢰성 라이브러리를 참조하여 추정하기 때문에 열화에 의해 출력 신호 파형이 변화하는 현상도 고려한 시뮬레이션을 실행할 수 있다. 따라서, 열화에 의한 출력 신호 파형의 변화를 고려하지 않고, 지연 열화량만의 동작 조건 의존성을 나타내는 신뢰성 라이브러리를 참조하여 회로 셀의 지연 열화량을 추정하는 제 1 실시예에 비해 더욱 고정밀도인 시뮬레이션이 가능하게 된다.
( 제 5 실시예 )
본 발명의 제 5 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치는 제 4 실시예와 마찬가지로 도 1에 도시된 구성에 있어서 신뢰성 라이브러리(6)가 회로 셀의 출력 신호 파형의 상승 하강 시간의 열화 정도에 대한 정보를 갖는 것이다. 단 본 실시예는 열화 정도를 열화전의 특성값과 열화후의 특성값의 비로 나타내는 것을 특징으로 한다.
즉, 회로 셀의 지연의 열화 정도를 열화전 지연에 대한 열화후 지연의 비로 나타내고, 또한 회로 셀의 출력 신호 상승 하강 시간의 열화 정도를 열화전의 출력신호 상승 하강 시간에 대한 열화후의 출력 신호 상승 하강 시간의 비로 나타내는 것으로 한다.
본 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 동작에 대하여 설명하기로 한다. 여기서는 제 4 실시예와 다른점에 대하여 설명하고, 그 이외는 설명을 생략하기로 한다.
제 4 실시예에서는 수학식 1, 4에 나타낸 바와 같이 지연의 열화 정도도 출력 신호 상승 하강 시간의 열화 정도 함께 차이분으로 표현하고 있었다. 본 실시예에서는 다음과 같은 수학식 5 및 6으로 나타내는 바와 같이 지연의 열화 정도는 열화전 지연(t 1)에 대한 열화후 지연(t2)의 비(R)로 나타내는 것으로 하여, 출력 신호 상승 하강시간의 열화 정도는 열화전의 출력 신호 상승 하강 시간(ts1)에 대한 열화후의 출력 신호 상승 하강 시간(ts2)의 비(Rs)로 나타내는 것으로 한다.
R = t2/t1
Rs = ts2/ts1
신뢰성 라이브러리 생성 장치(1)는 차이분으로 나타내는 지연 열화 정도를 구하는 경우와 마찬가지로 비로 나타내는 지연열화 정도를 구한다. 즉, 제 1 실시예와 마찬가지로 3가지의 동작 조건의 값을 적절한 범위로 변화시키면서 회로 신뢰성 시뮬레이터(4)를 구동하여, 대상으로 하는 회로 셀의 지연의 열화비의 상기 3가지 동작 조건에 대한 의존성을 구한다. 마찬가지로 대상으로 하는 회로 셀의 출력신호 상승 하강 시간의 열화비의 상기 3가지 동작 조건에 대한 의존성을 구한다.
도 10은 본 실시예에 따른 신뢰성 라이브러리(6)가 갖는, 회로 셀의 지연 열화비의 동작 조건 의존성을 나타내는 정보를 도시한 도면이고, 표로 나타낸 경우의 1회로 셀의 입출력 단자간의 지연 열화비의 동작 조건 의존성의 예를 도시한 도면이다. 도 10에 도시된 바와 같이, 3가지의 동작 조건, 즉 입력 신호의 스위칭 회수(회), 입력 신호의 상승 시간(nS) 및출력 부하 용량(fF)의 각 값에 대하여 지연 열화비가 나타나 있다. 출력 신호 상승 하강 시간의 열화비의 동작 의존성에 대해서도 마찬가지로 나타낼 수 있다. 또 제 1 실시예와 같이, 도 10에 도시된 바와 같은 정보를 함수로 나타내는 것으로도 가능하다.
셀 지연 열화 추정 수단(2)은 지연 열화비 및 출력 신호의 상승 하강 시간의 열화비의 동작 조건 의존성을 나타내는 신뢰성 라이브러리(6)를 참조하면서, 대상으로 하는 회로 셀의 지연 열화비를 추정한다.
여기에서는 예로서 도 5에 도시된 신호 패스의 인버터(31)의 지연 열화비를 추정하는 것으로 한다. 셀 지연 열화 추정 수단(2)은 우선 대상으로 하는 회로 셀 즉, 인버터(31)와 그 입력 단자(35)에 접속되어 있는 전단의 회로 셀(30)을 특정하여, 그 동작 조건의 값을 추출한다. 인버터(31) 및 전단의 회로 셀(30)에 대하여 LSI 네트리스트(9)로부터 출력 부하 용량(9a)을 열화전 LSI 타이밍(8)으로부터 입력 신호 상승 하강 시간(8a)을, 열화전 결과(10)로부터 입력 신호 스위칭 회수(1Oa)를 각각 추출한다.
다음에, 추출한 동작 조건의 값에 기초하여 신뢰성 라이브러리(6)를 참조하면서, 우선 전단의 회로 셀(30)의 출력 신호 상승 하강 시간 열화비를 계산한다. 이 열화비를 이미 추출한 인버터(31)의 입력 신호 상승 하강 시간에 곱하여 열화후의 입력 신호 상승 하강 시간으로 한다. 그리고 인버터(31)에 대하여, 구한 열화후의 입력 신호 상승 하강 시간과, 이미 추출한 출력 부하 용량(9a) 및 입력 신호 스위칭 회수(10a)에 기초하여 신뢰성 라이브러리(6)를 참조하면서, 지연 열화비를 계산한다.
LSI 타이밍 열화 추정 수단(18)은 LSI 네트리스트(9) 및 지연 라이브러리(13) 및 지연 열화비로 나타낸 셀 지연 열화 정도(11)를 숙독하여, 시간 경과적으로 열화한 LSI에서의 각 회로 셀의 지연을 계산한다. LSI 네트리스트(9) 및 지연 라이브러리(13)로부터 열화전의 LSI에서의 지연이 구해지므로 이것에 비로 표현된 셀 지연 열화 정도(11)를 곱셈한다. 이 결과는 열화후 LSI 타이밍(14)로서 출력할 수 있다. 이 열화후 LSI 타이밍(l4)도 열화전 LSI 타이밍(8)과 같이 SDF 등의 서식으로 기술된다.
이와 같이 본 실시예에 의하면, 셀 지연 열화 정도(11)에 있어서, 지연 열화정도가 비(比)라는 상대적인 정보에 의해서 표현되기 때문에, 예컨대 지연 라이브러리(13)와 신뢰성 라이브러리(6)가 서로 다른 프로세서 파라미터를 이용하여 생성되어 있는 것 같은 경우라도, 정밀하게 시뮬레이션 할 수 있다.
( 제 6 실시예 )
도 11은 본 발명의 제 6 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 도면이다. 본 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치는, 제 1 실시예와 같은 구성으로 이루어지지만, 신뢰성 라이브러리(6)가 회로 셀에 인가되는 전원 전압이나 온도에 대한 각 회로 셀의 지연 열화 정도의 의존성에 대한 정보도 갖는다는 점이 다르다. 즉, 본 실시예에서는 신뢰성 라이브러리 생성 장치(1)는 회로 셀의 신호 전파 지연이나 출력 신호의 상승 하강 시간의 열화정도에 대하여 소정의 동작 조건에 대한 의존성을 여러가지의 전원 전압이나 온도에 있어서 셀 네트리스트(7)에 기초하여 회로 신뢰성 시뮬레이터(4)에 의해 구하는 것이다.
본 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 동작에 대하여 설명하기로 한다. 여기서는 제 1 실시예와 다른 점에 대하여 설명하고, 그 이외는 설명을 생략하기로 한다.
신뢰성 라이브러리 생성 장치(1)는 회로 셀에 인가되는 전원 전압이나 온도의 값을 적당한 범위로 변화시키면서, 각각의 전원 전압 또는 온도에 있어서, 제 l실시예와 같이 3가지의 동작 조건을 적절한 범위로 변화시키면서, 지연 열화량의 동작 조건 의존성을 구한다. 구해진 지연 열화량의 전원 전압마다 또는 온도마다의 동작 조건 의존성은 함수나 표로 나타내고 신뢰성 라이브러리(6)로 출력된다. 이러한 처리를 회로 셀의 전체 종류에 대하여 차례로 행하여간다.
도 12는 본 실시예에 관한 신뢰성 라이브러리(6)가 갖는 회로 셀의 지연 열화 정도에 대한 정보를 도시한 도면이고, 표로 나타낸 경우의 1회로 셀의 1입출력단자간의 지연 열화량의 전원 전압마다의 동작 조건 의존성의 예를 도시한 도면이다.
셀 지연 열화 추정 수단(2)은 소정의 전원 전압(61)이 주어지면, 도 12에 도시된 바와 같은 신뢰성 라이브러리(6)가 갖는 정보에 따라 보간등의 수법에 의해서 그 전원 전압(61)에 있어서의 지연 열화량의 동작 조건 의존성을 나타내는 표 또는 함수식을 생성한다. 이 이후의 처리는 제 1 실시예와 마찬가지다. 단, 지연 라이브러리(13)는 상기 소정의 전원 전압(61)에 대하여 미리 생성되어 있을 필요가 있다.
또한 도 13은 본 실시예에 따른 신뢰성 라이브러리(6)가 갖는 회로 셀의 지연 열화 정도에 대한 정보를 도시한 도면이고, 표로 나타낸 경우의 1회로 셀의 1입출력 단자간의 지연 열화량의 온도마다의 동작 조건 의존성의 예를 도시한 도면이다.
셀 지연 열화 추정 수단(2)은 소정의 온도(62)가 주어지면 도 13에 도시된 바와 같은 신뢰성 라이브러리(6)가 갖는 정보에 기초하여 보간 등의 수법에 의해서 그 온도(62)에서의 지연 열화량의 동작 조건 의존성을 나타내는 표 또는 함수식을 생성한다. 이 이후의 처리는 제 1 실시예와 마찬가지다. 단, 지연 라이브러리(13)는, 상기 소정의 온도(62)에 대하여 미리 생성되어 있을 필요가 있다.
이와 같이 본 실시예에 의하면, LSI의 열화의 전원 전압이나 온도에 대한 의존성도 고려할 수가 있기 때문에 열화후의 LSI의 동작을 동작 전원 전압의 범위나 동작 온도의 범위에서 해석할 수 있게 된다.
또, 본 실시예에서는 LSI의 열화에 대하여, 전원 전압에 대한 의존성과 온도에 대한 의존성을 개별로 고려하는 것으로 하였지만, 양자를 아울러 고려하도록 해도 된다. 이 경우에는 신뢰성 라이브러리(6)에 있어서, 도 13에 도시된 바와 같은 정보를 전원 전압마다 준비해 두면 된다.
또, 소정의 전원 전압 또는 온도는 LSI에 대하여 각각 하나의 값을 설정하여도 관계없고, 종류마다의 값 중에서 회로 셀 마다 하나씩 설정하여도 관계없다.
( 제 7 실시예 )
본 발명의 제 7 실시예는 복수의 입력 단자를 갖는 회로 셀에 대하여, 하나의 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화를 구하는 경우에, 다른 입력 단자로의 입력 신호의 상승 하강 시간 및 스위칭 회수도 참조하여 구하는 것이다.
회로 셀로서 도 14에 도시된 바와 같은 2입력 NAND 게이트를 고려하기로 하자. 도 14에서 A, B는 입력 단자, Y는 출력 단자이다. 도 14에 도시된 2입력 NAND 게이트의 트랜지스터 레벨의 회로도는 CMOS의 경우는 도 15에 도시하는 바와 같이 된다.
도 14에 도시된 2입력 NAND 게이트에 있어서, 입력 단자(A)와 출력 단자(Y) 사이의 신호 전파 지연의 열화는 입력 단자(B)로의 입력 신호(이하「입력 신호 B」 라 함)의 스위칭의 이력에 의존한다. 한편, 입력 단자(B)와 출력 단자(Y) 사이의 신호 전파 지연의 열화는 입력 단자(A)로의 입력 신호(이하「입력 신호 A」라 함) 의 스위칭 이력에 의존한다. 특히 출력신호의 하강시에는, 전류는 도 15에서의 N 형 MOS 트랜지스터(Nl, N2)가 직렬 접속된 부분을 주로 흐르기 때문에 신호 전파 지연의 열화 정도는 각 N형 MOS 트랜지스터(Nl, N2)의 열화 정도에 영향을 받는다.
이 때문에 본 실시예에서는 신뢰성 라이브러리 생성 장치(1)는 입력 신호 A의 스위칭 회수와 입력 신호 B의 스위칭 회수에 대한 의존성을 고려한 신뢰성 라이브러리(6)를 생성한다.
도 16은 본 실시예에 따른 신뢰성 라이브러리(6)가 갖는 정보를 도시한 도면이다. 도 16에서 Tis는 입력 신호의 상승 하강 시간, C1은 출력 부하 용량이다. 또, 표 n(Tis, C1) (단, n= 1∼9)는 입력 신호 A의 스위칭 회수를 그 위쪽에 나타내는 값으로 하는 동시에 입력 신호 B의 스위칭 회수를 그 좌측에 나타내는 값으로 하여 입력 신호의 상승 하강 시간(Tis)과 출력 부하 용량(C1)을 적당히 변화시켜 작성한, 입력 단자(A)와 출력 단자(Y) 사이의 신호 전파 지연의 열화 정도를 나타낸 표이다.
셀 지연 열화 추정 수단(2)은 열화전 결과(l0)로부터 입력 신호 스위칭 회수(10a)를 추출한다. 예컨대 입력 신호 스위칭 회수(10a)에서 입력 신호 A의 스위칭 회수가 l013이고, 입력 신호 B의 스위칭 회수가 1015라 하면, 셀 지연 열화 추정 수단(2)은 표로서 표 7을 선택한다. 또, 신뢰성 라이브러리(6)에 표가 준비되어 있지 않은 스위칭 회수가 추출된 경우는 보간등에 의해 그 스위칭 회수에 대한 표를 구한다.
이와 같이 본 실시예에 의하면, 복수의 입력 단자를 갖는 회로 셀에 대하여, 하나의 입력 단자와 출력 단자 사이의 신호전파 지연의 열화 정도를 다른 입력 단자에서의 입력 신호의 상승 하강 시간 및 스위칭 회수도 고려하여 구할 수 있고, 제 1 실시예에 비해 시뮬레이션의 정밀도를 향상시킬 수 있다.
또 본 실시예에서는 신뢰성 라이브러리(6)에 있어서, 정보는 표형식으로 나타내는 것으로 하였지만, 함수에 의해서 나타내는 것으로 해도 된다.
또 본 실시예에서는 입력 단자(A, B)에서 입력 신호의 상승 하강 시간이 같다고 하는 가정하에 신뢰성 라이브러리(6)에 표를 준비하였지만, 입력 신호 A의 상승 하강 시간과 입력신호 B의 상승 하강 시간은 다른 것으로서 표를 준비해도 된다. 이 경우에는 표 n(Tisa, Tisb, C1)이라는 식으로 각 표의 차원을 더욱 늘릴 필요가 있다. 여기서, Tisa는 입력 신호 A의 상승 하강 시간, Tisb는 입력 신호 B의 상승 하강 시간이다.
( 제 8 실시예 )
본 발명의 제 8 실시예는 회로 셀 대신에 임계 경로 등의 복수의 회로 셀로 이루어지는 신호 패스를 지연 추정의 단위로 하는 것이다.
도 17은 본 실시예에 있어서 지연 추정의 단위로 하는 신호 패스의 예이다. 도 17에 도시된 입력 단자(A)에서 출력 단자(Y)까지의 신호 패스(50)는 직렬 접속된 4단의 회로 셀(51, 52, 53, 54)로 이루어진다. 2입력 NAND 게이트(51)는 한편의 입력 단자가 입력 단자(A)와 접속되어 있고, 인버터(52)는 입력 단자가 2입력 NAND 게이트(51)의 출력 단자와 접속되어있고, 3입력 NAND 게이트(53)는 하나의 입력 단자가 인버터(52)의 출력 단자와 접속되어 있고, 인버터(54)는 입력 단자가 3입력 NAND 게이트(53)의 출력 단자와 접속되고, 또한 출력 단자가 출력 단자(Y)와 접속되어 있다.
2입력 NAND 게이트(51)나 3입력 NAND 게이트(53)와 같이 복수의 입력 단자를 갖는 회로 셀에 있어서, 주목하는 신호 패스(50)와는 관계가 없는 단자(X1, X2, X3)에는, 신호가 신호 패스(50)에 따라 흘러 가도록 그 논리값을 고정한다. 도 17에 도시된 신호 패스(50)로서는 단자(X1, X2, X3)의 신호에는 논리값“1을 고정해 둔다.
본 실시예에서는, 도 17에 도시된 바와 같은 신호 패스(50)를 입력 단자(A) 및 출력 단자(B)를 갖는 하나의 회로 셀로서 취급하는 것으로 한다. 이외의 점은 제 1 실시예와 마찬가지다.
즉, 셀 지연 열화 추정 수단(2)은 LSI를 구성하는 회로 셀 중 적어도 일부 에 대하여 복수의 회로 셀로 이루어지는 신호패스를 단위로 하여, 지연 열화 정도를 추정하고, LSI 타이밍 열화 추정 수단(18)은 셀 지연 열화 추정 수단(2)에 의해 추정된 신호 패스의 지연 열화 정도를 포함하는 셀 지연 열화 정도(11)에 기초하여 시간 경과적으로 열화한 LSI에서의 상기 신호 패스의 지연을 추정한다. 그리고, 열화후의 LSI에서의 상기 신호 패스의 지연을 포함하는 열화후 LSI 타이밍(14)에 기초하여 LSI의 열화후의 동작이 시뮬레이션된다. 단, LSI 타이밍 열화 추정 수단(18)에 의한 처리에 있어서, LSI 네트리스트(9)와 셀 지연 열화 정도(11)로 대응이 되도록 LSI 네트리스트(9)에 도 17에 도시된 바와 같은 신호 패스의 정의 정보를 갖게 해 둘 필요가 있다.
이와 같이 본 실시예에 의하면, 임계 경로 등의 복수단의 회로 셀로 이루어지는 신호 패스를 지연 추정의 단위로서 취급하기 때문에 LSI 중의 전체 신호 패스에 대하여 회로 셀을 단위로 하여 지연을 추정하는 제 1 실시예에 비해 쉽게 실행할 수 있고, 더구나 전체의 연산 처리량을 삭감할 수 있다.
또 본 실시예에서는 주목하는 신호 패스와 관계가 없는 단자(X1, X2, X3)의 신호는 고정하는 것으로 하였지만, 그 신호의 실제의 스위칭 회수를 신호 패스의 지연 추정에 반영시켜도 된다.
또 본 실시예에서는 신호 패스(50)는 최소 단위의 회로 셀로 구성되어 있지만 복수 계층 구조를 갖는 것이라도 된다.
( 제 9 실시예 )
플립 플롭이나 래치와 같이 데이터를 기억하는 회로 셀은 입력 데이터 신호와 이 입력 데이터를 도입하기 위한 클럭 신호의 위상 관계나, 입력 데이터 신호 및 클럭 신호의 유효 기간 등에 따라 정상 동작하거나 오동작하기도 한다. 이 때문에, 상기 위상 관계나 유효 기간 등에 대하여 회로 셀이 오동작하지 않은 한계의 값을 미리 정해 두고, LSI 내의 회로 셀마다 상기 위상 관계나 유효 기간이 한계값을 넘지않는지의 여부를 검사하는 것을, 예를 들면 논리 시뮬레이터에 의해서 한다. 미리 정하여 놓은 상기 위상 관계나 유효 기간 등의 한계값을 타이밍 체크값(timing constraints)라 한다.
타이밍 체크값으로서는 셋 업 시간, 홀드 시간, 최소 펄스폭, 리커버리 시간, 리무버블 시간, 릴리스 시간 등이 있다.
예컨대, 플립 플롭의 경우, 셋 업 시간은 클럭 신호가 유효로 되기 얼마 전에 입력 데이터 신호가 확정되어 있어야 하는가를 정한 것이다. 또한 홀드 시간은 클럭 신호가 유효하게 되고 나서 얼마후까지 입력 데이터 신호가 유지되어야 하는가를 정한 것이다. 또한 최소 펄스폭은 회로 셀이 정상 동작 가능한, 클럭 신호의 유효기간(펄스폭)의 최소값을 나타내는 것이다.
이러한 타이밍 체크값은 회로 셀 내의 신호 전파 상태에 의존하기 때문에 시간 경과적 열화에 의해서 회로 셀 내의 트랜지스터 특성이 열화하면, 회로 셀 내의 신호 전파 상태도 변화하기 때문에 이 변화에 맞추어 타이밍 체크값도 바꿀 필요가 있다.
본 발명의 제 9 실시예는 회로 셀의 지연 뿐만 아니라 회로 셀의 타이밍 체크값에 대해서도 열화 정도를 추정하여, 추정한 회로 셀의 타이밍 체크값의 열화 정도에 따라 열화후의 타이밍 체크값을 구하고, LSI의 동작 타이밍의 검사를 하는 것이다.
도 18은 본 발명의 제 9 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도이다. 도 18에 도시된 바와 같이, 본 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치는 신뢰성 라이브러리(6A)가 갖는 타이밍 체크값의 정보(타이밍 체크값(6b))로부터 타이밍 체크값 열화 정도(72)를 추정하는 타이밍 체크값 열화 추정 수단(71)을 구비하고, 셀 지연 열화 추정 수단(2) 및 타이밍 체크값 열화 추정 수단(71)에 의해 열화 추정 수단(70)이 구성되어 있다. 신뢰성 라이브러리(6A)가 갖는 셀 지연의 정보(셀 지연(6a))는 제 1∼제 8 실시예에 있어서의 신뢰성 라이브러리(6)와 같은 정보를 갖는다. 또한 지연 라이브러리(13A)는 셀 지연의 정보(셀 지연(13a))와 타이밍 체크값의 정보(타이밍 체크값(13b))를 구비하고 있고, 셀 지연(13a)은 제 1∼제 8 실시예에 있어서의 지연 라이브러리(13)와 같은 정보를 갖는다.
우선, 지연 라이브러리(13A) 에서의 타이밍 체크값(13b)의 생성에 대하여 설명하기로 한다. 여기에서는 플립 플롭의 셋업 시간의 경우를 예로 들어 설명하기로 한다.
클럭 신호가 유효하게 되는 시각(tc)에 대하여, 입력 데이터 신호가 유효하게 되는 시각(td)을 시각(tc) 전에 적당한 시간 간격으로 스위프하여(즉 클럭-입력 데이터의 위상차를 변화시켜), 입력 데이터가 플립 플롭으로 정확하게 받아들여지는 한계의 시간차(tc-td)를 셋 업 시간으로서 구한다.
셋 업 시간과 같은 타이밍 체크값은 클럭 신호 및 입력 데이터 신호의 상승 시간 및 하강 시간(셋업 시간에 대해서는, 클럭신호가 논리값 "1"에서 유효하게 되는 회로 셀의 경우는 클럭 신호에 대해 하강 시간은 불필요) 및 회로 셀의 전원 전압 및 온도에 영향을 받기 때문에, 이들을 동작 조건으로 하여 각 동작 조건을 적절한 범위로 변화시키면서 타이밍 체크값을 구해 가고, 전체적으로 타이밍 체크값의 동작 조건 의존성을 구한다. 통상은, 지연 라이브러리 생성 장치(도시 생략)가 SPICE 등의 회로 시뮬레이터(도시 생략, 회로 신뢰성 시뮬레이터(4)와는 다른 것)를 구동하여 상기와 같은 해석을 한다.
이와 같이 하여, 지연 라이브러리 생성 장치는 플립 플롭이나 래치 등의 회로 셀에 대하여, 타이밍 체크값의 동작 조건 의존성을 각각 구해가고, 회로 셀의 지연의 동작 조건 의존성의 정보와 함께 지연 라이브러리(13A)에 출력한다.
도 19는 지연 라이브러리(13A)의 타이밍 체크값(13b)이 갖는 정보의 예이고, 열화전의 플립 플롭의 셋 업 시간의 동작 조건 의존성의 예를 도시한 도면이다. 도 19에서, Tisck는 클럭 신호의 상승 시간, Tisd는 입력 데이터 신호의 상승 시간이다. 또, 도 19에서는 전원 전압 및 온도는 고정되어 있는 것으로 한다.
다음에, 신뢰성 라이브러리(6A)에서의 타이밍 체크값(6b)의 생성에 대하여, 설명하기로 한다.
타이밍 체크값의 열화 정도는 클럭 신호 및 입력 데이터 신호의 상승 시간과 하강 시간, 그리고 회로 셀의 전원 전압 및 온도에 덧붙여, 클럭 신호 및 입력 데이터 신호의 스위칭 회수의 영향을 받기 때문에, 이들을 동작 조건으로 하여 각 동작 조건을 적절한 범위로 변화시키면서 타이밍 체크값를 구해가고, 전체적으로 타이밍 체크값의 열화 정도의 동작 조건 의존성을 구한다.
여기에서는, 타이밍 체크값의 열화 정도를 다음의 수학식 7에 나타내는 바와 같은 열화후의 타이밍 체크값(tt2)과 열화전의 타이밍 체크값(tt1)의 차이분(△tt), 즉 타이밍 체크값 열화량으로 나타내는 것으로 한다.
△tt = tt2 - tt1
신뢰성 라이브러리 생성 장치(1A)는 각 동작 조건의 값을 각각 어느 값에 설정하고, 이미 판독된, 대상으로 하는 회로 셀의 네트리스트(7)의 정보와 함께 회로신뢰성 시뮬레이터(4)에 건네고 회로 신뢰성 시뮬레이터(4)를 구동한다. 회로신뢰성 시뮬레이터(4)는 상기 회로 셀의 각 트랜지스터의 특성 열화 정도를 구한다. 신뢰성 라이브러리 생성 장치(1A)는 회로 신뢰성 시뮬레이터(4)로부터 상기 회로 셀의 각 트랜지스터의 특성 열화 정도를 받아, 신뢰성 모델 3을 참조하면서, 상기회로 셀의 타이밍 체크값 열화량을 구한다.
플립 플롭의 셋 업 시간의 열화량을 예로 들면 열화전과 열화후에 대하여 각각, 클럭 신호가 유효하게 되는 시간(tc)에 대하여 입력 데이터 신호가 유효하게 되는 시각(td)을 시각(tc)의 전에 적당한 시간 간격으로 스위프하여 입력 데이터가플립 플롭에 정확하게 받아들이는 한계의 시간차(tc-td)를, 셋 업 시간으로서 구한다. 열화전의 시간차와 열화후의 시간차의 차로부터 열화량을 구한다.
이러한 동작을 각 동작 조건의 값을 적절한 범위로 변화시키면서 하는 것에 따라 상기 회로 셀의 타이밍 체크값의 열화량의 동작 조건 의존성이 구해진다. 이 타이밍 체크값 열화량의 동작 조건 의존성은 함수나 표로 나타내어지고, 신뢰성 라이브러리(6A)의 타이밍 체크값(6b)으로 출력된다. 이상과 같은 동작을 셀 네트리스트(7)에 저장된 회로 셀 중에서 필요한 것에 대하여 차례로 행한다(타이밍 체크값이 필요하게 되는 것은 플립 플롭이나 래치 등의 회로 셀에 한정되기 때문임).
도 20 및 도 21은 신뢰성 라이브러리(6A)의 타이밍 체크값(6b)이 갖는 정보의 예이며, 플립 플롭의 셋 업 시간의 열화량의 동작 조건 의존성을 나타내는 정보를 도시한 도면이다. 도 20에 있어서, Tisck는 클럭 신호의 상승 하강 시간, Tisd는 입력 데이터 신호의 상승 하강 시간이다. 또한 표 n(Tisck, Tisd)(단, n=1∼9)은 클럭 신호의 스위칭 회수를 그 윗쪽에 나타내는 값으로 하는 동시에, 입력데이터 신호의 스위칭 회수를 그 좌측에 나타내는 값으로 하여, 클럭 신호의 상승 하강 시간(Tisck)과 입력 데이터 신호의 상승 하강 시간(Tisd)을 적당히 변화시켜 작성한, 셋 업 시간의 열화량을 나타낸 표이다. 또한 도 21에서 (a)는 표 1의 예를, (b)는 표 2의 예를 각각 나타내고 있다. 단, 도 20 및 도 21에서는 전원 전압 및 온도는 고정되는 것으로 한다.
타이밍 체크값 열화 추정 수단(71)은 신뢰성 라이브러리(6A)의 타이밍 체크값(6b)에서 타이밍 체크값 열화 정도(72)를 구한다.
우선, 열화후의 타이밍 체크값을 구할 필요가 있는 회로 셀(플립 플롭이나 래치)을 LSI 네트리스트(9)로부터 추출한다. 그리고, 추출한 전체 회로 셀에 대하여, 이하 같은 순서로 타이밍 체크값의 열화량을 구한다.
추출한 회로 셀에 대하여, 열화전 LSI 타이밍(8)에 포함되는 입력 신호의 상승 하강 시간(8a)을 참조하여 타이밍 체크값의 열화량을 구하기 위해 필요하게 되는 클럭 신호나 입력 데이터 신호 등의 상승 하강 시간을 차례로 추출한다. 또한 추출한 회로 셀에 대하여, 열화전 결과(10)에 포함되는 입력 신호의 스위칭 회수(1Oa)를 참조하여, 타이밍 체크값의 열화량을 구하기 위해 필요하게 되는 클럭 신호나 입력 데이터 신호 등의 스위칭 회수를 차례로 추출한다.
다음에, 신뢰성 라이브러리(6A)의 타이밍 체크값(6b), 즉 타이밍 체크값 열화량의 동작 조건 의존성을 참조하여, 추출한 클럭 신호나 입력 데이터 신호 등의 상승 하강 시간 및 스위칭 회수로부터, 상기 회로 셀의 타이밍 체크값의 열화량을 구한다. 이 경우, 타이밍 체크값(6b)이 표로 나타내어져 있을 때는 내부 삽입 등으로 구한다. 구한 각 회로 셀의 타이밍 체크값의 열화량은 타이밍 체크값 열화 정도(72)로 출력된다.
LSI 타이밍 열화 추정 수단(18A)은 열화전의 LSI에서의 타이밍 체크값인 지연 라이브러리(13A)의 타이밍 체크값(13b)에, 차이분으로 나타낸 타이밍 체크값 열화 정도(72)를 가함으로써 열화후의 LSI에서의 타이밍 체크값을 구하고, 열화후 LSI 타이밍(14A)으로 출력한다.
논리 시뮬레이터(15A)는 LSI 내의 플립 플롭이나 래치에 대하여 열화후의 클럭 신호나 입력 데이터 신호의 변화를 논리시뮬레이션에 의해서 추정할 수 있으므로 열화후의 LSI에서 회로 셀이 정상 동작하는지의 여부를 열화후 LSI 타이밍(14A)에 포함되는 타이밍 체크값에 따라 검사한다.
예컨대 플립 플롭에 대하여 클럭 신호가 유효하게 되는 시각(tc)과 입력 데이터 신호가 유효하게 되는 시각(td)의 시간차(tc-td)가, 열화전은 3.0(nS)이고, 열화후는 열화후 LSI 타이밍(14A)에 기초하여 논리 시뮬레이션한 결과, 2.2 (nS)였다고 한다. 또한 타이밍 체크값으로서의 셋 업 시간은 열화전은 2.1(nS)이고, 열화후는 2.5(nS)이었다고 한다. 이 때,열화전에서는 시간차(tc-td)는 셋 업 시간보다도 크기 때문에 플립 플롭은 정상 동작한다고 판단되지만 열화후는 시간차(tc-td)는 셋 업 시간에 대하여 0.3(ns)(= 2.5 - 2.2)모자라기 때문에 플립 플롭은 타이밍 체크를 만족시키지 못해, 오동작한다고 판단된다. 논리 시뮬레이터(15A)는 이와 같은 판단 결과를 열화후 결과(17A)로 출력한다.
또 각 실시예에 있어서, LSI의 타이밍 열화 시뮬레이션 장치는 신뢰성 라이브러리 생성 장치(l)를 구비하고 있는 구성으로 하였지만, 신뢰성 라이브러리 생성 장치(1, 1A)는 본 발명에서 반드시 필수적인 구성요소가 아니다. 즉, 미리 작성된 신뢰성 라이브러리(6, 6A)를 참조하여, LSI의 열화후의 동작을 시뮬레이션하는 LSI의 타이밍 열화 시뮬레이션 장치로서도 본 발명은 실현 가능하다.
이상과 같이 본 발명의 LSI의 타이밍 열화 시뮬레이션 장치에 의하면, LSI를 구성하는 회로 셀의 타이밍 열화를 개개의 회로 셀이 위치한 동작 조건에서 구하고, 또, LSI의 동작에 따른 신호의 흐름에서 신호 패스의 타이밍의 열화 현상이 시뮬레이션으로 다루어지게 되므로 회로 셀 단위의 수명의 검증만을 하는 종래 방법에 비해 지나친 설계 마진을 포함하지 않아도 된다는 효과를 갖는다. 동시에 LSI 규모의 대규모 회로에서의 타이밍 열화의 시뮬레이션도 실현한다.
상술한 본 발명의 바람직한 실시예들은 예시의 목적을 위해 개시된 것이며, 당업자라면 첨부된 특허청구의 범위에 개시된 본 발명의 사상과 범위를 통해 각종 수정, 변경, 대체 및 부가가 가능할 것이다.
도 1은 본 발명의 제 1 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도
도 2는 회로 셀의 일반적인 구성을 개념적으로 도시한 도면
도 3은 열화전과 열화후에 있어서, 인버터의 입력 신호와 출력 신호의 파형을 도시한 도면
도 4는 본 발명의 제 1 실시예에 따른 신뢰성 라이브러리가 갖는 정보의 예를 도시한 도면
도 5는 LSI의 신호 패스의 예를 도시한 도면
도 6은 본 발명의 제 2 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도
도 7은 본 발명의 제 3 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도
도 8은 열화전과 열화후에 있어서, 인버터의 입력 신호와 출력 신호의 파형을 도시한 도면
도 9는 본 발명의 제 4 실시예에 따른 신뢰성 라이브러리가 갖는 정보의 예를 도시한 도면
도 10은 본 발명의 제 5 실시예에 따른 신뢰성 라이브러리가 갖는 정보의 예를 도시한 도면
도 11은 본 발명의 제 6 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도
도 12는 본 발명의 제 6 실시예에 따른 신뢰성 라이브러리가 갖는 정보의 예를 도시한 도면
도 13은 본 발명의 제 6 실시예에 따른 신뢰성 라이브러리가 갖는 정보의 예를 도시한 도면
도 14는 본 발명의 제 7 실시예에서의 복수의 입력 단자를 갖는 회로 셀로서의 2입력 NAND 게이트를 도시한 도면
도 15는 도 14에 도시된 2입력 NAND 게이트의 트랜지스터 레벨의 회로도
도 16은 본 발명의 제 7 실시예에 따른 신뢰성 라이브러리가 갖는 정보의 예를 도시한 도면
도 17은 본 발명의 제 8 실시예에서 처리 단위로 하는 복수의 회로 셀로 구성되는 신호 패스의 예를 도시한 도면
도 18은 본 발명의 제 9 실시예에 따른 LSI의 타이밍 열화 시뮬레이션 장치의 구성을 도시한 블록도
도 19는 본 발명의 제 9 실시예에 따른 지연 라이브러리가 갖는 타이밍 체크값의 정보의 예를 도시한 도면이며, 열화전의 플립 플롭의 셋 업 시간의 동작 조건 의존성을 나타내는 정보를 도시한 도면
도 20은 본 발명의 제 9 실시예에 따른 신뢰성 라이브러리가 갖는 타이밍 체크값의 정보의 예를 도시한 도면이며, 플립 플롭의 셋 업 시간의 열화량의 동작 조건 의존성을 나타내는 정보를 도시한 도면
도 21은 본 발명의 제 9 실시예에 따른 신뢰성 라이브러리가 갖는 타이밍 체크값의 정보의 예를 도시한 도면이며, (a)는 도 20에서의 표 1의 예, (b)는 도 20에서의 표 2의 예이다.
* 도면의 주요 부분에 대한 부호의 설명 *
1, 1A : 신뢰성 라이브러리 생성 장치
2 : 셀 지연 열화 추정 수단 3 : 신뢰성 모델
4 : 회로 신뢰성 시뮬레이터 6, 6A : 신뢰성 라이브러리
8a : 입력 신호의 상승 하강 시간
9a : 출력 부하 용량 10a : 입력 신호의 스위칭 회수
11 : 셀 지연 열화 정도 12, 12A, 12B : 지연 계산기
15, 15A : 논리 시뮬레이터 18, 18A : LSI 타이밍 열화 추정 수단
20 : 회로 셀 21 : 입력 단자
22 : 출력 단자 61 : 전원 전압
62 : 온도 71 : 타이밍 체크값 열화 추정 수단
72 : 타이밍 체크값 열화 정도

Claims (26)

  1. (2회정정)
    LSI의 시간 경과적 열화를 설계 단계에서 예측하고, LSI의 열화후의 동작을 시뮬레이션하는 LSI의 타이밍열화 시뮬레이션 장치로서,
    대상으로 하는 LSI를 구성하는 각 회로 셀 지연의 시간 경과적인 열화 정도를, 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리를 참조하면서, 상기 LSI의 동작시의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하는 셀 지연 열화 추정 수단과,
    상기 셀 지연 열화 추정 수단에 의해 추정된 각 회로 셀의 지연 열화 정도에 기초하여 시간 경과적으로 열화한 상기 LSI 에서의 각 회로 셀의 지연을 추정하는 LSI 타이밍 열화 추정 수단을 포함하며,
    상기 LSI 타이밍 열화 추정 수단에 의해 추정된 열화후의 상기 LSI에서의 각 회로 셀의 지연에 기초하여, 상기 LSI의 열화후의 동작을 시뮬레이션하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  2. 제 1 항에 있어서,
    각 회로 셀에 대하여 당해 회로 셀을 구성하는 트랜지스터의 특성 열화 정도를 회로 신뢰성 시뮬레이터에 의해서 구하고, 구한 각 트랜지스터의 특성 열화 정도로부터 당해 회로 셀의 특성 열화 정도의 상기 소정의 동작 조건에 대한 의존성을 구함으로써 상기 신뢰성 라이브러리를 생성하는 신뢰성 라이브러리 생성 장치를 포함하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  3. 제 1 항에 있어서,
    열화전의 상기 LSI에서의 각 회로 셀의 지연을 추정하는 지연 계산기를 포함하며,
    상기 지연 계산기에 의해 추정된 열화전의 상기 LSI에서의 각 회로 셀의 지연에 따라 상기 LSI의 열화전의 동작을 시뮬레이션하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  4. 제 3 항에 있어서,
    상기 LSI 타이밍 열화 추정 수단은 상기 지연 계산기 내에 설치되는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  5. 제 3 항에 있어서,
    상기 셀 지연 열화 추정 수단 및 LSI 타이밍 열화 추정 수단은 모두 상기 지연 계산기 내에 설치되는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  6. 제 1 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  7. 제 6 항에 있어서,
    상기 신뢰성 라이브러리는 소정의 동작 조건으로서, 회로 셀의 입력 신호의 상승 하강 시간과, 출력 부하 용량 및 입력 신호의 스위칭 회수를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  8. 제 7 항에 있어서,
    상기 신뢰성 라이브러리는 복수의 입력 단자를 갖는 회로 셀에 대하여, 하나의 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도에 대하여, 다른 입력 단자로의 입력 신호의 스위칭 회수 및 상승 하강 시간을 소정의 동작 조건으로서 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  9. 제 7 항에 있어서,
    상기 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀에 인가되는 전원 전압을 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  10. 제 7 항에 있어서,
    상기 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀의 온도를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  11. 제 6 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서 출력 신호의 상승 하강 시간의 열화 정도를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  12. (정정)
    제 1 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의, 적어도 셋 업 시간과 홀드 시간을 포함하는 타이밍 체크값의 소정의 동작 조건에 대한 의존성을 나타내는 것이며,
    당해 LSI의 타이밍 열화 시뮬레이션 장치는,
    대상으로하는 LSI를 구성하는 회로 셀의 타이밍 체크값의 열화 정도를 상기 신뢰성 라이브러리를 참조하여 상기 LSI의 동작시에서의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하는 타이밍 체크값 열화 추정 수단을 포함하며,
    또, 상기 LSI 타이밍 열화 추정 수단은 상기 타이밍 체크값 열화 추정 수단에 의해서 추정된 상기 회로 셀의 타이밍 체크값의 열화정도에 기초하여 시간 경과적으로 열화한 상기 LSI에서의 상기 회로 셀의 타이밍 체크값을 추정하는 것이며,
    상기 LSI 타이밍 열화 추정 수단에 의해 추정된 상기 회로 셀의 타이밍 체크값에 기초하여, 열화후의 상기 LSI에서 상기 회로 셀이 정상 동작하는지의 여부를 추정하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  13. 제 1 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 표형식으로 나타내는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  14. 제 1 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 함수로 나타내는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  15. 제 1 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도를 열화전의 특성값과 열화후의 특성값의 차로 나타내는 것이며,
    상기 셀 지연 열화 추정 수단은 각 회로 셀의 지연 열화 정도를 열화전의 지연과 열화후 지연의 차로 나타내는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  16. 제 1 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도를 열화전의 특성값에 대한 열화후의 특성값의 비로 나타내는 것이며,
    상기 셀 지연 열화 추정 수단은 각 회로 셀의 지연 열화 정도를 열화전의 지연과 열화후 지연의 비로 나타내는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  17. (정정)
    제 1 항에 있어서,
    상기 셀 지연 열화 추정 수단은 상기 LSI를 구성하는 회로 셀 중 적어도 일부에 대하여, 복수의 회로 셀로 이루어지는 신호 패스를 단위로 하여 지연 열화 정도를 추정하는 것이며,
    상기 LSI 타이밍 열화 추정 수단은 상기 셀 지연 열화 추정 수단에 의해서 추정된 신호 패스의 지연 열화 정도에 따라 시간 경과적으로 열화한 상기 LSI에서의 상기 신호 패스의 지연을 추정하는 것이고,
    당해 LSI의 타이밍 열화 시뮬레이션 장치는 상기 LSI 타이밍 열화 추정 수단에 의해 추정된 열화후의 상기 LSI에서의 상기 신호 패스의 지연에 기초하여, 상기 LSI의 열화후의 동작을 시뮬레이션하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 장치.
  18. (2회정정)
    LSI의 시간 경과적 열화를 설계 단계에서 예측하여 LSI의 열화후의 동작을 시뮬레이션하는 LSI의 타이밍 열화 시뮬레이션 방법으로서,
    대상으로 하는 LSI를 구성하는 각 회로 셀의 지연의 시간 경과적인 열화 정도를, 회로 셀의 특성 열화 정도의 소정의 동작 조건에 대한 의존성을 나타내는 신뢰성 라이브러리를 참조하면서, 상기 LSI의 동작시의 당해 회로 셀의 상기 소정의 동작 조건의 값에 기초하여 추정하는 셀 지연 열화 추정 공정과,
    상기 셀 지연 열화 추정 공정에서 추정한 각 회로 셀의 지연 열화 정도에 기초하여, 시간 경과적으로 열화한 상기 LSI에서의 각 회로 셀의 지연을 추정하는 LSI 타이밍 열화 추정 공정과,
    상기 LSI 타이밍 열화 추정 공정에서 추정한 열화후의 상기 LSI에서의 각 회로 셀의 지연에 기초하여, 상기 LSI의 열화후의 동작을 시뮬레이션하는 시뮬레이션 공정을 구비하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  19. 제 18 항에 있어서,
    각 회로 셀에 대하여 당해 회로 셀을 구성하는 트랜지스터의 특성 열화 정도를 회로 신뢰성 시뮬레이터를 구동하여 구하고, 구한 각 트랜지스터의 특성 열화 정도로부터 당해 회로 셀의 특성 열화 정도의 상기 소정의 동작 조건에 대한 의존성을 구함으로써 상기 신뢰성 라이브러리를 생성하는 신뢰성 라이브러리 생성 공정을 구비하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  20. 제 18 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서 입력 단자와 출력단자 사이의 신호 전파 지연의 열화 정도를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  21. 제 20 항에 있어서,
    상기 신뢰성 라이브러리는 소정의 동작 조건으로서, 회로 셀의 입력 신호의 상승 하강 시간과, 출력 부하 용량 및 입력 신호의 스위칭 회수를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션방법.
  22. 제 21 항에 있어서,
    상기 신뢰성 라이브러리는 복수의 입력 단자를 갖는 회로 셀에 대하여, 하나의 입력 단자와 출력 단자 사이의 신호 전파 지연의 열화 정도에 대하여, 다른 입력 단자로의 입력 신호의 스위칭 회수 및 상승하강 시간을 소정의 동작 조건으로서 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  23. 제 21 항에 있어서,
    상기 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀에 인가되는 전원전압을 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  24. 제 21 항에 있어서,
    상기 신뢰성 라이브러리는 소정의 동작 조건으로서 회로 셀의 온도를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  25. 제 20 항에 있어서,
    상기 신뢰성 라이브러리는 회로 셀의 특성 열화 정도로서, 출력 신호의 상승 하강 시간의 열화 정도를 이용하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
  26. (정정)
    제 18 항에 있어서,
    상기 셀 지연 열화 추정 공정은 상기 LSI를 구성하는 회로 셀 중 적어도 일부의 것에 대하여 복수의 회로 셀로 이루어지는 신호 패스를 단위로 하여 지연 열화 정도를 추정하는 것이며,
    상기 LSI 타이밍 열화추정 공정은 상기 셀 지연 열화 추정 공정에서 추정한 신호 패스의 지연 열화 정도에 기초하여, 시간 경과적으로 열화한 상기LSI에서의 상기 신호 패스의 지연을 추정하는 것이고,
    상기 시뮬레이션 공정은 상기 LSI 타이밍 열화 추정 공정에서 추정한 열화후의 상기 LSI에서의 상기 신호 패스의 지연에 기초하여, 상기 LSI의 열화후의 동작을 시뮬레이션하는 것을 특징으로 하는 LSI의 타이밍 열화 시뮬레이션 방법.
KR1019970042544A 1996-08-29 1997-08-29 대규모집적회로의타이밍열화시뮬레이션장치및시뮬레이션방법 KR100485915B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP22811896 1996-08-29
JP8-228118 1996-08-29
JP96-228118 1996-08-29

Publications (2)

Publication Number Publication Date
KR19980019143A KR19980019143A (ko) 1998-06-05
KR100485915B1 true KR100485915B1 (ko) 2005-06-16

Family

ID=16871498

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970042544A KR100485915B1 (ko) 1996-08-29 1997-08-29 대규모집적회로의타이밍열화시뮬레이션장치및시뮬레이션방법

Country Status (4)

Country Link
US (1) US5974247A (ko)
KR (1) KR100485915B1 (ko)
CN (1) CN1130764C (ko)
TW (1) TW346657B (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278964B1 (en) * 1998-05-29 2001-08-21 Matsushita Electric Industrial Co., Ltd. Hot carrier effect simulation for integrated circuits
US6397169B1 (en) * 1998-06-30 2002-05-28 Synopsys, Inc. Adaptive cell separation and circuit changes driven by maximum capacitance rules
JP3125870B2 (ja) * 1998-07-06 2001-01-22 日本電気株式会社 遅延計算方法及び遅延値計算プログラムを記録した記録媒体
JP2000029920A (ja) * 1998-07-13 2000-01-28 Mitsubishi Electric Corp シミュレーション装置、シミュレーション方法およびシミュレーションプログラムを記録した媒体
US6240542B1 (en) * 1998-07-14 2001-05-29 Lsi Logic Corporation Poly routing for chip interconnects with minimal impact on chip performance
US6804810B1 (en) * 2000-02-21 2004-10-12 Hewlett-Packard Development Company, L.P. Resistance and capacitance estimation
US6795802B2 (en) * 2000-03-17 2004-09-21 Matsushita Electric Industrial Co., Ltd. Apparatus and method for calculating temporal deterioration margin amount of LSI, and LSI inspection method
JP4095753B2 (ja) * 2000-03-30 2008-06-04 株式会社ルネサステクノロジ コンピュータ読み取り可能な記憶媒体、および半導体装置の設計方法
US7292968B2 (en) * 2000-09-29 2007-11-06 Cadence Design Systems, Inc. Hot carrier circuit reliability simulation
US7567891B1 (en) 2000-09-29 2009-07-28 Cadence Design Systems, Inc. Hot-carrier device degradation modeling and extraction methodologies
JP2004252831A (ja) * 2003-02-21 2004-09-09 Matsushita Electric Ind Co Ltd Lsiの統計的遅延シミュレーション装置及びそのシミュレーション方法
US6954916B2 (en) * 2003-06-30 2005-10-11 International Business Machines Corporation Methodology for fixing Qcrit at design timing impact
JP2005196265A (ja) * 2003-12-26 2005-07-21 Matsushita Electric Ind Co Ltd 遅延ライブラリ作成方法および遅延ライブラリ作成装置
US20070006105A1 (en) * 2005-06-30 2007-01-04 Texas Instruments Incorporated Method and system for synthesis of flip-flops
JP2007272392A (ja) * 2006-03-30 2007-10-18 Nec Electronics Corp 回路シミュレーション装置
US7216062B1 (en) * 2006-06-13 2007-05-08 Sun Microsystem, Inc. Characterizing degradation of components during reliability-evaluation studies
US7876121B2 (en) * 2007-09-14 2011-01-25 Mayo Foundation For Medical Education And Research Link analysis compliance and calibration verification for automated printed wiring board test systems
JP2009134500A (ja) * 2007-11-30 2009-06-18 Nec Electronics Corp 論理シミュレータと論理シミュレーション方法
US7979834B1 (en) * 2008-01-24 2011-07-12 Xilinx, Inc. Predicting timing degradations for data signals in an integrated circuit
CN103310028B (zh) 2012-03-07 2017-08-15 飞思卡尔半导体公司 考虑器件老化的设计集成电路的方法
US8839170B2 (en) 2012-05-31 2014-09-16 International Business Machines Corporation Power/performance optimization through temperature/voltage control
US8543960B1 (en) 2012-05-31 2013-09-24 International Business Machines Corporation Power and timing optimization for an integrated circuit by voltage modification across various ranges of temperatures
US8839165B2 (en) 2013-01-25 2014-09-16 International Business Machines Corporation Power/performance optimization through continuously variable temperature-based voltage control
US8713490B1 (en) * 2013-02-25 2014-04-29 International Business Machines Corporation Managing aging of silicon in an integrated circuit device
US9310424B2 (en) 2013-02-25 2016-04-12 International Business Machines Corporation Monitoring aging of silicon in an integrated circuit device
US9823298B2 (en) * 2015-08-12 2017-11-21 Arm Limited Critical path architect
JP7261984B2 (ja) * 2019-09-18 2023-04-21 パナソニックIpマネジメント株式会社 打ち抜き装置
CN113742795B (zh) 2020-05-27 2024-07-02 台湾积体电路制造股份有限公司 对集成电路中的半导体存储器的安全级别进行认证的方法
CN114720831A (zh) * 2021-01-04 2022-07-08 长鑫存储技术有限公司 热载流子效应退化性能的评估方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107953A (ja) * 1990-08-28 1992-04-09 Fujitsu Ltd 半導体装置の配線方法
JPH06168293A (ja) * 1992-12-01 1994-06-14 Nec Corp 回路劣化シミュレーション方法
KR950033925A (ko) * 1994-03-24 1995-12-26 가나이 쯔또무 대규모 집적회로의 설계방법
JPH09292436A (ja) * 1996-04-26 1997-11-11 Matsushita Electric Ind Co Ltd タイミング検証方法
KR20000011359A (ko) * 1998-07-02 2000-02-25 어드밴티스트 코포레이션 고속테스트패턴평가장치

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5600578A (en) * 1993-08-02 1997-02-04 Advanced Micro Devices, Inc. Test method for predicting hot-carrier induced leakage over time in short-channel IGFETs and products designed in accordance with test results
JP2736501B2 (ja) * 1993-09-28 1998-04-02 三菱電機株式会社 Mos型トランジスタのホットキャリア劣化のシミュレーション方法
JPH0831893A (ja) * 1994-07-15 1996-02-02 Fujitsu Ltd 半導体集積回路のホットキャリア劣化寿命の検証方法
US5533197A (en) * 1994-10-21 1996-07-02 International Business Machines Corporation Method to assess electromigration and hot electron reliability for microprocessors
US5634001A (en) * 1995-06-07 1997-05-27 International Business Machines Corporation Method to calculate hot-electron test voltage differential for assessing microprocessor reliability

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04107953A (ja) * 1990-08-28 1992-04-09 Fujitsu Ltd 半導体装置の配線方法
JPH06168293A (ja) * 1992-12-01 1994-06-14 Nec Corp 回路劣化シミュレーション方法
KR950033925A (ko) * 1994-03-24 1995-12-26 가나이 쯔또무 대규모 집적회로의 설계방법
JPH09292436A (ja) * 1996-04-26 1997-11-11 Matsushita Electric Ind Co Ltd タイミング検証方法
KR20000011359A (ko) * 1998-07-02 2000-02-25 어드밴티스트 코포레이션 고속테스트패턴평가장치

Also Published As

Publication number Publication date
CN1130764C (zh) 2003-12-10
US5974247A (en) 1999-10-26
CN1175792A (zh) 1998-03-11
KR19980019143A (ko) 1998-06-05
TW346657B (en) 1998-12-01

Similar Documents

Publication Publication Date Title
KR100485915B1 (ko) 대규모집적회로의타이밍열화시뮬레이션장치및시뮬레이션방법
JP3569681B2 (ja) 半導体集積回路における電源電流波形の解析方法及び解析装置
US6278964B1 (en) Hot carrier effect simulation for integrated circuits
US6807660B1 (en) Vectorless instantaneous current estimation
Holcomb et al. Design as you see FIT: System-level soft error analysis of sequential circuits
Lorenz et al. Efficiently analyzing the impact of aging effects on large integrated circuits
Bobba et al. Estimation of maximum current envelope for power bus analysis and design
Boliolo et al. Gate-level power and current simulation of CMOS integrated circuits
US5533197A (en) Method to assess electromigration and hot electron reliability for microprocessors
US6138267A (en) Reliability verification device for detecting portion of design that may cause malfunction of semiconductor integrated circuit and its verifying method
Koppaetzky et al. RT level timing modeling for aging prediction
Anghel et al. Evaluation of SET and SEU effects at multiple abstraction levels
US20020138809A1 (en) Multi-level power macromodeling
JP3243434B2 (ja) Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法
US6959250B1 (en) Method of analyzing electromagnetic interference
US7127385B2 (en) Delay time estimation method and recording medium storing estimation program
Nandith et al. A novel approach for statistical parameter estimation and test pattern generation
US20080069277A1 (en) Method and apparatus for modeling signal delays in a metastability protection circuit
Gunes et al. A survey and comparison of digital logic simulators
JP3519591B2 (ja) Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法
Gupta et al. BTI-aware design using variable latency units
Balaji et al. A survey on effective Automatic Test Pattern Generator for self-checking Scan-BIST VLSI circuits
Nocua et al. A Hybrid Power Estimation Technique to improve IP power models quality
US8464195B1 (en) Integrated circuit clock analysis with macro models
Huard et al. Hot-carrier injection degradation in advanced CMOS nodes: a bottom-up approach to circuit and system reliability

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090410

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee