JP3519591B2 - Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法 - Google Patents

Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法

Info

Publication number
JP3519591B2
JP3519591B2 JP01985698A JP1985698A JP3519591B2 JP 3519591 B2 JP3519591 B2 JP 3519591B2 JP 01985698 A JP01985698 A JP 01985698A JP 1985698 A JP1985698 A JP 1985698A JP 3519591 B2 JP3519591 B2 JP 3519591B2
Authority
JP
Japan
Prior art keywords
deterioration
lsi
delay
timing
cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP01985698A
Other languages
English (en)
Other versions
JPH11219380A (ja
Inventor
浩和 米澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP01985698A priority Critical patent/JP3519591B2/ja
Publication of JPH11219380A publication Critical patent/JPH11219380A/ja
Application granted granted Critical
Publication of JP3519591B2 publication Critical patent/JP3519591B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ホットキャリア現
象などに起因するLSIの経時的な特性劣化を配線間の
カップリングノイズの影響をも考慮して予測し、LSI
のタイミングシミュレーションに反映させる技術に関す
るものである。
【0002】
【従来の技術】半導体集積回路(以下LSIと略す)に
は寿命があり、ある期間動作した後に故障や動作不良を
起こす。LSIの故障や動作不良の主な原因としては、
ホットキャリア現象に起因する特性劣化やエレクトロマ
イグレーションによる配線の断線などがある。特にホッ
トキャリア現象は、トランジスタの駆動能力を劣化せし
め、これによりLSIの動作タイミングが時間の経過と
ともに変化し、いずれ誤動作に至る。
【0003】近年のLSIにおいては、製造技術の発達
とともにデバイスの微細化が急速に進み、デバイス内に
生じる高電界によって高エネルギー電子であるホットキ
ャリアが生じやすくなっており、ホットキャリア現象に
対する信頼性確保がますます難しくなっている。
【0004】LSIの信頼性確保のためには、例えば、
一定期間の動作を保証すべく、動作タイミングの経時的
変化に対して十分な余裕を設けるために、全回路の動作
タイミングに一律に適当なマージンを含ませる方法が考
えられる。ところがこの方法では、最悪の場合を考えて
マージンを設定するために、LSIとしては過剰な仕様
に陥りやすい。通常、LSIの信頼性と性能とはトレー
ドオフの関係にあり、過剰な信頼性を持たせることはL
SIの性能を低下させる結果になる。したがって、この
ような方法では高性能なLSIを開発することは困難で
あった。
【0005】またこのような問題を解決すべく、従来か
ら次のような方法も用いられてきている。すなわち、L
SIを構成する回路単位である回路セル(例えば特定用
途向け集積回路(ASIC)に用いられるスタンダード
セルライブラリに属する,インバータなどのセル)毎
に、LSIの動作時における所定の動作条件の値を調
べ、各回路セルについて、調べた動作条件の値が、劣化
量や寿命があらかじめ定めた目標値を満たすために必要
な範囲にあるか否かを検証する。そして、劣化量や寿命
が目標値を満たさない回路セルをLSIの信頼性の面で
問題のある回路セルと認識し、設計変更などの対策をと
る。
【0006】
【発明が解決しようとする課題】しかしながら、前記従
来の方法では、LSIを構成する各回路セルについて劣
化量や寿命の検証を行っているのみであり、LSI全体
としては、信頼性に対して過剰な仕様になる場合が多
い。この点について説明する。
【0007】LSIは通常、所定の動作周波数に従って
動作する。言い換えると、この所定の動作周波数から決
まる所定の周期がLSIにおける処理時間の単位とな
る。一方、LSIでは、信号は、この所定の周期の間に
いくつかの回路セルからなる信号パスを流れる。このと
き、信号パスにおける信号伝搬遅延が劣化によって長く
なり、所定の周期を越えてしまうと、LSIの動作タイ
ミングがおかしくなり、誤動作が生じることになる。逆
に言うと、信号パスにおける信号伝搬遅延が劣化によっ
て長くなっても所定の周期を越えなければ、LSIの動
作タイミングは正常のままであり、誤動作は生じない。
【0008】ここで、信号パスA、Bを想定し、信号パ
スAにおける信号伝搬遅延は所定の周期とほぼ同じ(す
なわち遅延劣化に弱い)であり、一方、信号パスBにお
ける信号伝搬遅延は所定の周期に比べてかなり小さい
(すなわち遅延劣化に強い)ものとする。さらに、信号
パスA、Bはともに同じ動作条件の値の回路セルを含ん
でおり、かつその動作条件の値が、劣化量や寿命が目標
を満たすために必要な範囲にないものとする。
【0009】このとき、前記従来の方法によると、信号
パスAに含まれる回路セルも、信号パスBに含まれる回
路セルも、ともにLSIの信頼性の面で問題となる回路
セルと認識されてしまい、設計変更などの対象となって
しまう。ところが実際には、遅延劣化に弱い信号パスA
に含まれるこの回路セルはLSIの信頼性の面で問題と
すべきであるが、遅延劣化に強い信号パスBに含まれる
この回路セルは、LSIの信頼性の面では問題なしと判
断するのが妥当である。
【0010】このように、回路セルがLSIの信頼性の
面からみて問題となるか否かは、たとえ同じ動作条件で
あっても、個々の回路セルによって異なる。各回路セル
に対して許容される劣化量や寿命の範囲は、LSIの信
号の流れにおけるその回路セルの位置づけによって異な
るからである。
【0011】さらに、ホットキャリア劣化はトランジス
タに印加される電圧に依存する。通常回路セルの出力電
圧は電源電位を最大、接地電位を最小とする範囲で変化
する。近年の微細化により回路セル間を接続する配線が
密に配置され、その結果隣接信号との間の容量結合が起
こりやすくなっている。容量結合が強まれば、隣接信号
の遷移時にカップリングノイズ(容量結合による雑音)
を生じ、それが回路セルの出力信号の電位を電源電圧よ
り高くしたり、接地電圧より低くしたりする。通常出力
信号はトランジスタのドレインに接続されており、トラ
ンジスタのソースとドレインとの間に印加される電圧が
このノイズにより増加し、ホットキャリア劣化が増大し
てしまうことになる。従来はこの問題に対する有効な解
決策はなかった。
【0012】本発明は、LSIの経時的劣化を配線間の
カップリングノイズの影響をも加味して設計段階におい
て予測し、LSIの劣化後の動作をシミュレーションす
る装置および方法を提供することを課題とする。
【0013】
【課題を解決するための手段】前記の課題を解決するた
めに、請求項1の発明が講じた解決手段は、LSIの経
時的劣化を設計段階において予測し、LSIの劣化後の
動作をシミュレーションするLSIのタイミング劣化シ
ミュレーション装置として、対象とするLSIを構成す
る各回路セルの遅延の経時的な劣化度合を、回路セルの
特性劣化度合の、少なくとも、カップリングノイズに起
因する信号電圧変動量を含む、所定の動作条件に対する
依存性を表す信頼性ライブラリを参照しつつ、前記LS
Iの動作時における当該回路セルの前記所定の動作条件
の値に基づいて、推定するセル遅延劣化推定手段と、前
記セル遅延劣化推定手段によって推定された各回路セル
の遅延劣化度合に基づいて、経時的に劣化した前記LS
Iにおける各回路セルの遅延を推定するLSIタイミン
グ劣化推定手段と、劣化前の前記LSIにおける各回路
セルの遅延を推定する遅延計算機とを備え、前記LSI
タイミング劣化推定手段によって推定された,劣化後の
前記LSIにおける各回路セルの遅延に基づいて、前記
LSIの劣化後の動作をシミュレーションし、前記遅延
計算機によって推定された劣化前の前記LSIにおける
各回路セルの遅延に基づいて、前記LSIの劣化前の動
作をシミュレーションするものであり、かつ、LSIネ
ットリストを参照するものとし、前記LSIネットリス
トは、配線容量素子について、LSIのタイミング計算
時には、対接地容量として認識でき、配線間のカップリ
ングノイズ計算時には、当該配線とこの配線と容量結合
している配線との間の配線容量として認識できるよう、
記述されているものである
【0014】また、請求項2の発明が講じた解決手段
は、LSIの経時的劣化を設計段階において予測し、L
SIの劣化後の動作をシミュレーションするLSIのタ
イミング劣化シミュレーション装置として、対象とする
LSIを構成する各回路セルの遅延の経時的な劣化度合
を、回路セルの特性劣化度合の、少なくとも、カップリ
ングノイズに起因する信号電圧変動量を含む、所定の動
作条件に対する依存性を表す信頼性ライブラリを参照し
つつ、前記LSIの動作時における当該回路セルの前記
所定の動作条件の値に基づいて、推定するセル遅延劣化
推定手段と、前記セル遅延劣化推定手段によって推定さ
れた各回路セルの遅延劣化度合に基づいて、経時的に劣
化した前記LSIにおける各回路セルの遅延を推定する
LSIタイミング劣化推定手段と、劣化前の前記LSI
における各回路セルの遅延を推定する遅延計算機とを備
え、前記LSIタイミング劣化推定手段によって推定さ
れた,劣化後の前記LSIにおける各回路セルの遅延に
基づいて、前記LSIの劣化後の動作をシミュレーショ
ンし、前記遅延計算機によって推定された劣化前の前記
LSIにおける各回路セルの遅延に基づいて、前記LS
Iの劣化前の動作をシミュレーションするものであり、
前記セル遅延劣化推定手段は、LSIネットリストを参
照して、前記LSIの各配線について、容量結合を有す
る相手先の配線との容量結合度合をそれぞれ求め、容量
結合度合と信号電圧変動量との関係から、各配線につい
てそれぞれの結合容量についての信号電圧変動量を求
め、前記LSIの劣化前動作のシミュレーション結果か
ら、各配線について、容量結合を有する相手先の配線に
おいて劣化に影響のある結合信号の遷移の有無を抽出
し、前記所定の動作条件としての信号電圧変動量の値と
して、信号遷移が有の場合は求めた信号電圧変動量をそ
のまま用い、信号遷移が無の場合は0Vとするものであ
【0015】また、請求項3の発明が講じた解決手段
は、LSIのタイミング劣化シミュレーション装置にお
いて、LSIの経時的劣化を設計段階において予測し、
LSIの劣化後の動作をシミュレーションするLSIの
タイミング劣化シミュレーション方法として、前記LS
Iのタイミング劣化シミュレーション装置が有するセル
遅延劣化推定手段が、対象とするLSIを構成する各回
路セルの遅延の経時的な劣化度合を、回路セルの特性劣
化度合の、少なくとも、カップリングノイズに起因する
信号電圧変動量を含む、所定の動作条件に対する依存性
を表す信頼性ライブラリを参照しつつ、前記LSIの動
作時における当該回路セルの前記所定の動作条件の値に
基づいて、推定するセル遅延劣化推定工程と、前記LS
Iのタイミング劣化シミュレーション装置が有するLS
Iタイミング劣化推定手段が、前記セル遅延劣化推定工
程において推定した各回路セルの遅延劣化度合に基づい
て、経時的に劣化した前記LSIにおける各回路セルの
遅延を推定するLSIタイミング劣化推定工程と、前記
LSIのタイミング劣化シミュレーション装置が有する
遅延計算機が、劣化前の前記LSIにおける各回路セル
の遅延を推定する遅延計算工程と、前記LSIのタイミ
ング劣化シミュレーション装置が有する論理シミュレー
タが、前記LSIタイミング劣化推定工程において推定
した劣化後の前記LSIにおける各回路セルの遅延に基
づいて、前記LSIの劣化後の動作をシミュレーション
し、前記遅延計算工程において推定した劣化前の前記L
SIにおける各回路セルの遅延に基づいて、前記LSI
の劣化前の動作をシミュレーションするシミュレーショ
ン工程とを備え、前記セル遅延劣化推定工程は、LSI
ネットリストを参照して、前記LSIの各配線につい
て、容量結合を有する相手先の配線との容量結合度合を
それぞれ求めるステップと、容量結合度合と信号電圧変
動量との関係から、各配線について、それぞれの結合容
量についての信号電圧変動量を求めるステップと、前記
LSIの劣化前動作のシミュレーション結果から、各配
線について、容量結合を有する相手先の配線において、
劣化に影響のある結合信号の遷移の有無を抽出するステ
ップと、前記所定の動作条件としての信号電圧変動量の
値として、劣化に影響のある結合信号の遷移が有の場合
は求めた信号電圧変動量をそのまま用い、無の場合は0
Vとするステップとを有するものである
【0016】
【発明の実施の形態】以下、本発明の実施形態について
図面を参照しながら説明する。
【0017】(第1の実施形態) 図1は本発明の第1の実施形態に係るLSIのタイミン
グ劣化シミュレーション装置の構成を示すブロック図で
ある。図1に示すように、本実施形態に係るLSIのタ
イミング劣化シミュレーション装置は、回路信頼性シミ
ュレータ4を駆動して、各回路セルの特性劣化度合の所
定の動作条件に対する依存性を表す信頼性ライブラリ6
を生成する信頼性ライブラリ生成装置1と、対象とする
LSIを構成する各回路セルの遅延の経時的な劣化度合
(セル遅延劣化度合11)を、信頼性ライブラリ6を参
照しつつ推定するセル遅延劣化推定手段2と、対象とす
るLSIにおける各回路セルの遅延を計算する遅延計算
機12と、各回路セルの遅延を含むLSIタイミングを
基にしてLSIの動作をシミュレーションする論理シミ
ュレータ15とを備えている。遅延計算機12は、セル
遅延劣化度合11に基づいて、経時的に劣化したLSI
における各回路セルの遅延を推定するLSIタイミング
劣化推定手段18を備えている。論理シミュレータ15
は、劣化前のLSIにおける各回路セルの遅延を含む劣
化前LSIタイミング8を基にして、LSIの劣化前の
動作をシミュレーションして劣化前結果10を生成する
一方、劣化後のLSIにおける各回路セルの遅延を含む
劣化後LSIタイミング14を基にして、LSIの劣化
後の動作をシミュレーションして劣化後結果17を生成
する。
【0018】図1に示す本実施形態に係るLSIのタイ
ミング劣化シミュレーション装置の動作を説明する。
【0019】(信頼性ライブラリ生成工程) 図2は回路セルの一般的な構成を概念的に示す図であ
る。図2に示すように、ほとんどの場合、回路セル20
は入力端子21、出力端子22、電源端子23および接
地端子24を有する。セルネットリスト7には、種々の
回路セルのネットリストが格納されている。回路セルに
は、ASICのスタンダードセルライブラリに一般に準
備されているインバータやNANDゲート、NORゲー
ト、フリップフロップなどがある。また前記の汎用の回
路セル以外にも、LSIを構成するために必要な回路セ
ルを作り、これを信頼性ライブラリ6を生成する対象と
することもできる。セルネットリスト7に格納されたネ
ットリストには、例えばSPICEフォーマットのもの
が用いられる。セルネットリスト7には各回路セル中の
トランジスタのサイズや接続に関する情報、受動素子お
よび寄生素子の値や接続に関する情報などが含まれてい
る。プロセスパラメータ5にはSPICEパラメータや
劣化を表わすためのパラメータ、LSIの製造上のパラ
メータなどが格納されている。SPICEフォーマット
およびSPICEパラメータは、例えばMETA-SOFTWARE
社発行のHSPICE USER'S MANUAL(1996年発行)に記載さ
れている。
【0020】信頼性ライブラリ生成装置1は、対象とす
る回路セルの種類をまず決め、その回路セルのネットリ
ストをセルネットリスト7から読み込む。そして回路信
頼性シミュレータ4を駆動して、信頼性モデル3を参照
しつつ、対象とする回路セルの入出力端子間の伝搬遅延
の劣化度合の、所定の動作条件に対する依存性を求め
る。回路信頼性シミュレータ4は、プロセスパラメータ
5を参照し、トランジスタの特性劣化をシミュレーショ
ンによって推定するものであり、例えばRobert H. Tu e
t al."Berkeley Reliability Tools - BERT"(IEEE Tran
sactions on Computer-Aided Design of Integrated Ci
rcuits and Systems,1993,P1524-1534) に記載されたも
のなどがある。
【0021】図3および図4はカップリングノイズに起
因する出力信号電圧の変動を模式的に示す図であり、図
3は対象とする回路セルの出力信号が電源電圧VDDか
らΔVだけ高くなる場合を、図4は対象とする回路セル
の出力信号が接地電圧GNDからΔVだけ低くなる場合
を示している。図3および図4では対象とする回路セル
としてインバータを例にとっており、このインバータの
出力信号線と容量結合を有する信号線の信号(結合信
号)が入力信号の遷移の少し前に遷移した場合を示して
いる。
【0022】図3では、入力信号の立上りに伴い出力信
号が立下る少し前に結合信号が立上るので、出力信号に
カップリングノイズが生じ、出力信号電圧が電源電圧V
DDよりもΔVだけ高くなる。この結果、インバータの
出力信号は電圧(VDD+ΔV)から接地電圧GNDま
で変化する。この場合には、出力信号が電源電圧VDD
から接地電圧GNDまで変化する場合よりも、劣化は大
きくなる。
【0023】同様に図4では、入力信号の立下りに伴い
出力信号が立上る少し前に結合信号が立下るので、出力
信号にカップリングノイズが生じ、出力信号電圧が接地
電圧GNDよりもΔVだけ低くなる。この結果、インバ
ータの出力信号は電圧(GND−ΔV)から電源電圧V
DDまで変化する。この場合には、出力信号が接地電圧
GNDから電源電圧VDDまで変化する場合よりも、劣
化は大きくなる。
【0024】ここで、図3および図4に示すΔVを、カ
ップリングノイズに起因する出力信号電圧の電源電圧ま
たは接地電圧からの変動量(信号電圧変動量)と呼ぶ。
【0025】本実施形態では、所定の動作条件として、
回路セルの出力負荷容量と、入力信号の立上り立下り時
間と、カップリングノイズに起因する出力信号電圧の電
源電圧または接地電圧からの変動量とを用いるものとす
る。また、時間の経過に対する劣化度合の変化を推定す
るために、入力信号のスイッチング回数も動作条件とす
る。
【0026】また伝搬遅延の劣化度合を、劣化後の遅延
と劣化前の遅延との差分で表わすことにする。図3およ
び図4において、実線は劣化前の信号波形、破線は劣化
後の信号波形を示す。図3および図4に示すように、劣
化前の遅延をt1とし、劣化後の遅延をt2とすると、
式(1)に示すような劣化後遅延t2と劣化前遅延t1
との差分Δtによって、伝搬遅延の劣化度合を表わすこ
とにする。この差分Δtを遅延劣化量とよぶ。 Δt=t2−t1 …(1)
【0027】信頼性ライブラリ生成装置1は、前記4つ
の動作条件の値をそれぞれある値に設定し、すでに読み
込んだ,対象とする回路セルのネットリストの情報とと
もに回路信頼性シミュレータ4に渡し、回路信頼性シミ
ュレータ4を駆動する。回路信頼性シミュレータ4は、
前記回路セルの各トランジスタの特性劣化度合を求め
る。信頼性ライブラリ生成装置1は回路信頼性シミュレ
ータ4から前記回路セルの各トランジスタの特性劣化度
合を受け、信頼性モデル3を参照しつつ、前記回路セル
の遅延劣化量を求める。このような動作を、前記4つの
動作条件の値を適切な範囲で変化させながら行うことに
より、前記回路セルの遅延劣化量の前記4つの動作条件
に対する依存性を求める。この遅延劣化量の動作条件依
存性は関数やテーブルで表わされ、信頼性ライブラリ6
に出力される。以上のような動作を、セルネットリスト
7に格納された全種類の回路セルについて順次行ってい
く。
【0028】このとき、動作条件の1つである信号電圧
変動量ΔVを変化させるために、図5に示すような近似
した信号波形を用いる。もちろんカップリングノイズを
含む実際の信号波形を用いてもかまわないが、シミュレ
ーションが複雑になるため、図5に示すような信号波形
を用いることによって、シミュレーションを簡易にして
いる。図5に示す信号波形は、シミュレーションの際の
出力信号の初期値を電圧(VDD+ΔV)に設定するこ
とによって容易に得られる。また、図4に示すような接
地電位GNDを下回る場合には、シミュレーションの際
の出力信号の初期値を電圧(GND−ΔV)に設定すれ
ばよい。
【0029】またこのシミュレーションにおいて、出力
信号の初期値を電圧(VDD+ΔV)に設定した場合に
は、回路セルの出力信号線に接続されたトランジスタの
ドレインは基板またはウェル(通常、電源電圧VDDま
たは接地電圧GNDとなる)との間で順バイアス状態と
なり、このままでは順電流が流れ、出力信号の初期値が
電圧(VDD+ΔV)から電源電圧VDDまで低下して
しまう。また出力信号の初期値を電圧(GND−ΔV)
に設定した場合には、順電流が流れることによって、出
力信号の初期値が電圧(GND−ΔV)から接地電圧G
NDまで上昇してしまう。このような動作を回避するた
めに、回路信頼性シミュレータ4によってシミュレーシ
ョンする際には、出力信号の初期値を電圧(VDD+Δ
V)または(GND−ΔV)に設定した場合には、当該
出力信号線に接続されたトランジスタのドレインの接合
ダイオードの順電流を一時的に遮断(オフ)または抑制
(削減)するなどの方法をとる。
【0030】この結果、回路セルの特性劣化度合の、所
定の動作条件に対する依存性を表す信頼性ライブラリ6
が生成されることになる。本実施形態に係る信頼性ライ
ブラリ6では、回路セルの特性劣化度合として、入力端
子と出力端子との間の信号伝搬遅延の劣化度合が用いら
れるとともに、所定の動作条件として、回路セルの、入
力信号の立上り立下り時間と、出力負荷容量と、入力信
号のスイッチング回数と、カップリングノイズに起因す
る出力信号電圧の電源電圧または接地電圧からの変動量
とが用いられる。
【0031】図6は本実施形態に係る信頼性ライブラリ
6が有する、回路セルの遅延劣化量の動作条件依存性を
表す情報を示す図であり、テーブルで表わした場合の一
回路セルの一入出力端子間の入力信号立上り時の遅延劣
化量の動作条件依存性の例を示す図である。図4に示す
ように、4つの動作条件すなわち入力信号のスイッチン
グ回数[回]、入力信号の立上り時間[nS]、出力負
荷容量[fF]および信号電圧変動量[V]の各値に対
して、遅延劣化量[nS]が表されている。
【0032】なお信頼性ライブラリ6において、図6に
示すような情報を関数で表すことも可能である。この場
合には、信頼性モデル3に未知数を含む関数の式を格納
しておき、この関数が例えば図6のテーブルにおける各
動作条件と遅延劣化量との関係に合うように、カーブフ
ィッティングによりその未知数を決定すればよい。
【0033】遅延計算機12は、対象とするLSIを構
成する各回路セルの接続情報が記述されたLSIネット
リスト9と、各回路セルの遅延の所定の動作条件に対す
る依存性が記述された遅延ライブラリ13とを読み込
み、前記LSIを構成する全回路セルの遅延を計算す
る。
【0034】LSIネットリスト9は、DSPF(Deta
iled Standard Parasitic File、例えばCadence Design
Systems,Inc.のCadence Standard Parasitic Format(1
993)P.8-20に記載)などの書式で記述される。本実施形
態ではLSIネットリスト9には、各配線について容量
結合の情報が予め付加されているものとする。
【0035】LSIネットリスト9には、高精度化のた
め、一般的には、回路セル間の配線の寄生容量や寄生抵
抗などの寄生素子情報も含めて記述されている。寄生容
量には通常、着目する配線と接地との間の容量成分と、
着目する配線とこれに隣接する配線との間の結合容量の
成分とが含まれている。DSPFなどの書式では、これ
らの成分を分けて記述できず、全ての成分を対接地容量
すなわち着目する配線と接地との間の容量素子として記
述している。
【0036】図7はインバータの出力信号線の寄生容量
成分の例を示す図である。図7において、Ca,Cb,
Cc,Cd,Cgはそれぞれ着目する配線36と、隣接
する配線a,b,c,dおよび接地GNDとの間の容量
成分である。通常のDSPFなどの書式では、容量成分
Ca,Cb,Cc,Cd,Cgは全て配線と接地GND
との間に記述されているが、結合容量の影響を求めるた
めに、各容量成分Ca,Cb,Cc,Cd,Cgの結合
先の配線情報をLSIネットリスト9にあらかじめ付加
しておく。すなわち配線36について、容量成分Ca,
Cb,Cc,Cdはそれぞれ、配線a,b,c,dとの
結合容量であることを、LSIネットリスト9に記録し
ておく。
【0037】図29はインバータが2段直列に接続され
た回路についての通常のDSPFネットリストの例を示
す図である。図29において、先頭文字がCである行は
容量を表している。図29に示すように、通常のDSP
Fでは、各容量は対接地容量として接地端子(図29で
は“0”)につながれている。
【0038】ここで、容量の中で結合容量がある場合
は、図30のように別途実際の接続先の情報を追加して
記述しておけばよい。図30において、(a)〜(c)
が図29に示すDSPFネットリストに追加した情報を
示す行である。なお、DSPFの書式では*はコメント
行を表しており、コメント行を追加挿入してもDSPF
ファイルの機能に悪影響はない。例えば、行(a)は、
容量C2inpは元々は着目している信号配線中のノー
ドinp:1とカップリング先の配線のノードnet_
aとの間の容量であることを意味する。よってこの追加
情報を参照すればカップリング関係がわかることにな
る。
【0039】また遅延ライブラリ13には、所定の動作
条件として、回路セルの出力負荷容量と入力信号の立上
り立下り時間とが与えられている。すなわち遅延ライブ
ラリ13には、劣化していないときの、各回路セルの遅
延の動作条件依存性が格納されている。
【0040】ここでは例として、図8に示す信号パスに
おけるインバータ31の入出力端子間の伝搬遅延を計算
する場合を考える。図8に示すような信号パスはLSI
ネットリスト9に記述されている。まずインバータ31
の出力端子36につながっている負荷容量を求める。こ
の場合、配線寄生素子34の容量とインバータ31の出
力端子36に接続されたインバータ32の入力容量との
和が負荷容量となる。次に入力端子35への入力信号の
立上り立下り時間を求める。このためには、配線寄生素
子33の容量とインバータ31の入力容量との和を負荷
容量としたときの、2入力NANDゲート30の出力信
号の立上り立下り時間を求めればよい。このようにし
て、インバータ31について出力負荷容量および入力信
号立上り立下り時間が求まり、これらを基にして、遅延
ライブラリ13を参照しつつ、インバータ31の入出力
端子間の伝搬遅延を計算する。
【0041】遅延計算機12は、以上のような処理をL
SIを構成する全回路セルについて行い、その結果を劣
化前LSIタイミング8に出力する。劣化前LSIタイ
ミング8はSDF(Standard Delay Format 、例えばOp
en Verilog International発行のStandard Delay Forma
t Specification Version 3.0、1995に記載)などの書
式で記述される。
【0042】入力ベクタ16は、LSIを論理シミュレ
ーションさせるために必要となる、時系列に記述された
LSIの入力信号パターンである。論理シミュレータ1
5は、入力ベクタ16と劣化前LSIタイミング8と他
の必要なデータとを読み込み、LSIの劣化前の動作タ
イミングを考慮した論理シミュレーションを実行し、こ
のシミュレーション結果を劣化前結果10に出力する。
【0043】(セル遅延劣化推定工程) セル遅延劣化推定手段2は、対象とするLSIを構成す
る回路セルの中から、まず対象とする回路セルを決め、
この回路セルについて、前記LSIの動作時における前
記動作条件の値を抽出する。具体的には、LSIネット
リスト9から出力負荷容量9aを、劣化前LSIタイミ
ング8から入力信号の立上り立下り時間8aを、劣化前
結果10から入力信号スイッチング回数10aを抽出す
る。
【0044】さらにセル遅延劣化推定手段2は、LSI
ネットリスト9から容量結合度合9bを抽出する。
【0045】容量結合度合について説明する。例えば図
7において、配線36について、配線aとの容量結合度
合は、配線36と配線aとの間の結合容量Caを配線3
6についての寄生容量の総和で除したものすなわちCa
/(Ca+Cb+Cc+Cd+Cg)とする。同様に、
配線bとの間の容量結合度合は、配線36と配線bとの
間の結合容量Cbを配線36についての寄生容量の総和
で除したものすなわちCb/(Ca+Cb+Cc+Cd
+Cg)とする。なお、接地GNDとの間の容量結合度
合は0とする。
【0046】LSIネットリスト9には前述のように容
量結合を有する相手先の配線についての情報があらかじ
め付加されているので、これを参照しながら、LSIを
構成する全配線について、容量結合を有する相手先の配
線との容量結合度合をそれぞれ求める。
【0047】次に、予め図9に示すような容量結合度合
とカップリングノイズに起因する出力信号電圧の電源電
圧または接地電圧からの変動量ΔVとの関係を示すテー
ブルを準備しておき、各配線について、それぞれの結合
容量についての信号電圧変動量ΔVを求める。図9に示
すようなテーブルを用いる場合には、任意の容量結合度
合に対しては補間等の方法によって信号電圧変動量ΔV
を求める。図10は図7における配線36についての信
号電圧変動量ΔVの計算結果の例を示す図である。
【0048】なお図9に示すような容量結合度合と出力
信号変動量ΔVとの関係を、関数で表すことも可能であ
る。この場合には、未知数を含む関数の式を用意してお
き、この関数が例えば図9に示すテーブルにおける容量
結合度合と出力信号電圧変動量ΔVとの関係に合うよう
に、カーブフィッティングによりその未知数を決定すれ
ばよい。図9に示すような情報は、セル遅延劣化推定手
段2に直接組み込む形態でもよいし、信頼性モデル3の
場合と同様にセル遅延劣化推定手段2が参照できる形態
としてもよい。
【0049】さらに、セル遅延劣化推定手段2は、劣化
前結果10から信号遷移情報10bを抽出する。信号遷
移情報とは、出力信号配線と容量結合した配線における
結合信号の遷移のうち、劣化に影響のあるものの有無を
示す情報のことをいう。具体的には、入力信号遷移の前
後に所定の時間範囲を任意に設定し、この所定の時間範
囲内における結合信号の信号遷移の有無を信号遷移情報
として抽出する。
【0050】図11は結合信号の信号遷移のうち劣化に
影響のないものの例を示す図である。図11に示すよう
な入力信号が遷移しないときの結合信号の信号遷移は、
カップリングノイズを生じても劣化に影響ないとして無
視する。セル遅延劣化推定手段2は、このような信号遷
移情報の抽出を全ての配線について行う。
【0051】図12は図7におけるインバータの出力信
号線36についての信号遷移情報の抽出結果の例を示す
図である。図12において、有の場合は、先に求めた図
10に示すような信号電圧変動量ΔVをそのまま用い
る。無の場合は、信号電圧変動量ΔVは0(V)とす
る。なお、配線と接地GNDとの間の容量の遷移はもと
もと考えないので、信号遷移の有無についての情報はな
い。
【0052】次に、信頼性ライブラリ6を参照しなが
ら、抽出した動作条件の値に基づいて、対象とする回路
セルの遅延劣化量を推定する。信頼性ライブラリ6にお
いて回路セルの遅延劣化量の動作条件依存性が関数によ
って表されている場合は、抽出した動作条件の値を関数
に代入して、対象とする回路セルの遅延劣化量を求め
る。一方、信頼性ライブラリ6において回路セルの遅延
劣化量の動作条件依存性がテーブルによって表されてい
る場合は、抽出した動作条件の値に応じて適当に補間を
行い、対象とする回路セルの遅延劣化量を求めればよ
い。このような処理を、対象とするLSIを構成する全
回路セルについて行った結果を、セル遅延劣化度合11
として出力する。
【0053】(LSIタイミング劣化推定工程) 遅延計算機12は、今度はLSIタイミング劣化推定手
段18によって、LSIネットリスト9および遅延ライ
ブラリ13に加えてセル遅延劣化度合11を読み込み、
経時的に劣化した前記LSIにおける各回路セルの遅延
を計算する。LSIネットリスト9および遅延ライブラ
リ13からは劣化前のLSIにおける各回路セルの遅延
が求まるので、これに差分で表されたセル遅延劣化度合
11を加える。この結果は、劣化後LSIタイミング1
4として出力される。劣化後LSIタイミング14も劣
化前LSIタイミング8と同様に、SDFなどの書式で
記述される。
【0054】論理シミュレータ15は、劣化前のLSI
に対する場合と同様に、入力ベクタ16および劣化後L
SIタイミング14と他の必要なデータとを読み込み、
LSIの劣化後の動作タイミングを考慮した論理シミュ
レーションを実行し、このシミュレーション結果を劣化
後結果17として出力する。
【0055】このように本実施形態によると、信頼性ラ
イブラリ6を参照して、対象とするLSIの回路セルの
遅延劣化度合を個々に求め、この各回路セルの遅延劣化
度合に基づいて、経時的に劣化したLSIにおける各回
路セルの遅延を推定した上で、LSIの劣化後の動作の
シミュレーションを行うので、LSIの実動作における
信号パスの遅延劣化現象を考慮したシミュレーションを
行うことができる。これにより、LSIの設計におい
て、信頼性に対する過剰な仕様を回避することができ
る。
【0056】また本実施形態によると、LSIの経時的
劣化を配線間のカップリングノイズの影響をも考慮して
設計段階において予測し、LSIの劣化後の動作をシミ
ュレーションするのでさらなる高精度設計、最適化設計
を実現できる。
【0057】なお本実施形態では、回路セルの入力信号
の立上り立下り時間は、前段の回路セルの出力負荷容量
のみから求めるものとしたが、配線寄生抵抗により信号
波形が変化する効果を取り込んで求めてもよい。この場
合は、より高精度な入力信号の立上り立下り時間を得る
ことができる。
【0058】なお本実施形態では、信頼性モデル3は、
回路セルの遅延劣化度合の動作条件依存性を表すための
関数式を定義するものとして、信頼性ライブラリ生成装
置1の外に構成されているが、これは、種々の関数式を
準備しておき、信頼性ライブラリ生成装置1側で任意の
関数式を選択できるようにしたものである。これに対し
て、装置の簡略化のために、信頼性モデル3を信頼性ラ
イブラリ生成装置1の中に組み込んだ構成としてもよ
い。
【0059】また本実施形態では、回路セルとして一入
力一出力であるインバータを例にあげて説明したが、入
力端子および出力端子のうち少なくとも一方が複数個あ
る回路セルについても、同様に遅延の劣化度合を推定す
ることができる。なお、この場合には、各入力端子と各
出力端子との間の遅延について、すなわち入力端子と出
力端子の組み合わせの数の遅延について、劣化度合を推
定する必要がある。
【0060】なお本実施形態では、セル遅延劣化度合1
1を推定するために、論理シミュレーションの結果であ
る劣化前結果10から入力信号スイッチング回数10a
を抽出して用いたが、この場合、例えば10年間の動作
後の劣化を推定しようとすると、10年分の動作を表わ
す入力ベクタ16を論理シミュレータ15に与えなけれ
ばならないことになる。これは非現実的であり、実際に
は、入力ベクタ16によって一定期間の動作を表わし、
劣化推定期間はこの一定期間の繰り返しとみなして入力
信号スイッチング回数10aを近似計算により求めれば
よい。入力ベクタ16が表す一定期間をT1、入力ベク
タ16が表す一定期間T1内の入力信号スイッチング回
数をN1、劣化推定期間をT2とすると、次のような式
に従って、劣化推定期間T2内の入力信号スイッチング
回数N2を求めることができる。 N2=(T2/T1)・N1 …(2)
【0061】また、一定期間T1内の動作を表わす入力
ベクタ16によって論理シミュレーションを実行し、こ
のときの劣化前結果10から入力信号スイッチング確率
Pを抽出し、動作周波数fおよび劣化推定期間T2か
ら、次のような式に従って、劣化推定期間T2内の入力
信号スイッチング回数N2を求めてもよい。 N2=T2・f・P …(3)
【0062】なお本実施形態では、セル遅延劣化推定手
段2は配線容量とセルの入力容量との和である出力負荷
容量9aをLSIネットリスト9から抽出するものとし
たが、配線容量をLSIネットリスト9から抽出すると
ともに、セルの入力容量を予め遅延ライブラリ13に格
納しておき、この遅延ライブラリ13から抽出して、セ
ル遅延劣化推定手段2が両者を足し合わせて出力負荷容
量とする形態としてもよい。
【0063】なお本実施形態では、劣化前LSIタイミ
ング8および劣化後LSIタイミング14は回路セルの
みの遅延を含むものとしていたが、回路セル間の配線遅
延も含む形態としてもよい。この場合、遅延計算機12
が、LSIネットリスト9から配線抵抗や配線容量など
の寄生素子の情報を読み出すとともに、遅延ライブラリ
13から出力端子の駆動特性(例えば出力インピーダン
スや出力電流)を読み出し、両者から回路セルの出力端
子に接続されている配線の遅延を計算し、劣化前LSI
タイミング8または劣化後LSIタイミング14に出力
するものとすればよい。これにより、さらなる論理シミ
ュレーションの高精度化を達成することができる。
【0064】また、信頼性ライブラリ6に回路セルの出
力端子の駆動特性変化量の動作条件依存性をも持たせ、
セル遅延劣化推定手段2およびLSIタイミング劣化推
定手段18が遅延劣化量の計算と同様に駆動特性劣化量
を計算し、劣化後の駆動特性に基づいて配線遅延を求
め、劣化後LSIタイミング14に出力することによっ
て、劣化後の回路セルの特性変化により配線遅延が変化
する効果も考慮することができる。
【0065】なお、回路セルはCMOSの場合、N型M
OSトランジスタおよびP型MOSトランジスタから構
成されるが、ホットキャリア劣化についてはN型におい
て顕著であり、P型でも生じるがN型に比べて一般的に
かなり少ない。そこで、劣化はN型だけに生じると仮定
して、回路信頼性シミュレータ4による解析を行っても
よい。
【0066】なお本実施形態では、セル遅延劣化推定手
段2が劣化前結果10から信号遷移情報10bを抽出す
るとき、出力信号線と容量結合している配線の信号すな
わち結合信号の遷移が、入力信号の遷移の前後に任意に
設定した時間範囲内に生じるか否かを調べるものとした
が、このとき設定する時間範囲は、LSI1個当たりに
1値を設定してもよいし、複数の値を設定してもよい。
【0067】なお本実施形態では、対象となる回路セル
の入力信号の遷移と、出力信号に容量結合している信号
の遷移とが入力信号の遷移の前後の任意に設定した時間
範囲内で1つの結合信号からのノイズを受けることを想
定していたが、複数の結合信号からのノイズを受けるこ
とにしてもよい。この場合、設定した時間範囲内での複
数の結合信号の同時(ほぼ同時でもよい)遷移を検出し
て、それに対応した変動量ΔVを求めればよい。
【0068】図12において複数の配線について時間範
囲内遷移が有の場合、図10によってそれぞれ個別の変
動量ΔVがわかっているので、一例として単純にそれら
の和で複数の結合信号の遷移による影響を総合した変動
量ΔVを表わす方法が考えられる。例えば配線aと配線
cで時間範囲内遷移が有なら、それぞれの変動量ΔVは
図10からそれぞれ0.15[V],0.45[V]な
ので、これらの和0.6[V](=0.15+0.4
5)をΔVとして用いればよい。
【0069】なお本実施形態では、カップリングノイズ
に起因して回路セルの出力信号電圧が電源電圧VDDよ
りも高くなるすなわち電圧(VDD+ΔV)になる場合
と、接地電圧GNDよりも低くなるすなわち電圧(GN
D−ΔV)になる場合とを扱うものとした。もちろん結
合信号の遷移の方向によっては、出力信号電圧は、電源
電圧VDDよりも低くなったり(電圧(VDD−Δ
V))、接地電圧GNDよりも高くなったり(電圧(G
ND+ΔV))する場合も起こりうる。これらの場合に
ついては、劣化は弱まる傾向にあるため本実施形態では
考慮しないものとしたが、高精度の解析が必要な場合に
はこれらの場合も扱うようにすればよい。この場合、信
号電圧変動量ΔVについてその正負までも考慮した信頼
性ライブラリ6を信頼性ライブラリ生成装置1によって
生成し、結合信号の遷移方向を出力信号の遷移方向との
対応関係において検出して、信号電圧変動量ΔVをその
正負を含めて求めるようにすればよい。
【0070】なお本実施形態では、遅延ライブラリ13
には、所定の動作条件として、回路セルの出力負荷容量
と入力信号の立上り立下り時間とが与えられているもの
としたが、さらにカップリングノイズに起因する出力信
号電圧の電源電圧または接地電圧からの変動量を動作条
件に加えてもよい。
【0071】(第2の実施形態) 図13は本発明の第2の実施形態に係るLSIのタイミ
ング劣化シミュレーション装置の構成を示すブロック図
である。図13において、図1に示す第1の実施形態に
係るLSIのタイミング劣化シミュレーション装置と共
通の構成要素には、図1と同一の符号を付している。
【0072】図13に示すように、本実施形態では、遅
延計算機12AはLSIタイミング劣化推定手段18を
備えておらず、LSIタイミング劣化推定手段18は遅
延計算機12Aと別に設けられている点が第1の実施形
態と異なっている。LSIタイミング劣化推定手段18
の機能自体は第1の実施形態と同様であり、セル遅延劣
化推定手段2によって推定されたセル遅延劣化度合11
を参照しつつ、劣化後LSIタイミング14を求める。
また、本実施形態に係るLSIのタイミング劣化シミュ
レーション装置全体の動作は第1の実施形態と同様であ
り、ここでは詳細な説明を省略する。
【0073】このように本実施形態によると、第1の実
施形態と同様の効果が得られるのに加えて、遅延計算機
12Aとして従来のものを用いることができるという効
果がある。
【0074】(第3の実施形態) 図14は本発明の第3の実施形態に係るLSIのタイミ
ング劣化シミュレーション装置の構成を示すブロック図
である。図14において、図1に示す第1の実施形態に
係るLSIのタイミング劣化シミュレーション装置と共
通の構成要素には、図1と同一の符号を付している。
【0075】図14に示すように、本実施形態では、遅
延計算機12BはLSIタイミング劣化推定手段18に
加えてセル遅延劣化推定手段2を有しており、遅延計算
機12Bが信頼性ライブラリ6を直接参照しながら劣化
後LSIタイミング14を求める点が第1の実施形態と
異なっている。本実施形態に係るLSIのタイミング劣
化シミュレーション装置全体の動作は第1の実施形態と
同様であり、ここでは詳細な説明を省略する。
【0076】このように本実施形態によると、第1の実
施形態と同様の効果が得られるのに加えて、セル遅延劣
化推定手段2が遅延計算機12Bに内蔵されているの
で、全体の構成が簡易になる。
【0077】(第4の実施形態) 本発明の第4の実施形態に係るLSIのタイミング劣化
シミュレーション装置は、第1の実施形態と同様に図1
に示すような構成からなるが、信頼性ライブラリ6が回
路セルの出力信号の立上り立下り時間の劣化度合の所定
の動作条件に対する依存性についても、情報を有してい
る点が異なる。すなわち、本実施形態では、信頼性ライ
ブラリ生成装置1は、回路セルの出力信号の立上り立下
り時間の劣化度合についても、所定の動作条件に対する
依存性を、セルネットリスト7に基づいて回路信頼性シ
ミュレータ4を駆動して求めるものである。
【0078】本実施形態に係るLSIのタイミング劣化
シミュレーション装置の動作について説明する。ここで
は、第1の実施形態と異なる点について説明し、それ以
外は説明を省略する。
【0079】図15はインバータの入力信号と出力信号
の劣化前と劣化後とにおける波形を示す図である。図1
5において、実線は劣化前の信号波形、破線は劣化後の
信号波形である。図15に示すように、インバータが劣
化すると、図3および図4で説明したように入力端子と
出力端子との間の伝搬遅延はt1からt2に変化する。
さらに出力トランジスタの駆動能力が劣化するため、出
力信号の立下り時間もts1からts2に変化する。な
おここでは、出力信号の立下り時間は、出力信号電圧が
電源電圧VDDの90%から10%まで変化する時間で
表している。
【0080】そこで本実施形態では、信頼性ライブラリ
6は、回路セルの出力信号の立上り立下り時間の劣化度
合についても、回路セルの特性劣化度合として、所定の
動作条件に対する依存性を表すものとする。所定の動作
条件としては、第1の実施形態と同様に、回路セルの、
入力信号の立上り立下り時間と、出力負荷容量と、入力
信号のスイッチング回数と、カップリングノイズに起因
する出力信号電圧の電源電圧または接地電圧からの変動
量とを用いる。また本実施形態では、伝搬遅延の劣化度
合と同様に、回路セルの出力信号の立上り立下り時間の
劣化度合を、次式のように、劣化後の立上り立下り時間
ts2と劣化前の立上り立下り時間ts1との差分Δt
sすなわち劣化量として表すものとする。 Δts=ts2−ts1 …(4)
【0081】信頼性ライブラリ生成装置1は、遅延劣化
量に加えて、出力信号の立上り立下り時間の劣化量につ
いて、所定の動作条件に対する依存性を、遅延劣化量の
場合と同様にして求める。すなわち、前記の4つの動作
条件の値をそれぞれある値に設定し、すでに読み込ん
だ,対象とする回路セルのネットリストの情報とともに
回路信頼性シミュレータ4に渡し、回路信頼性シミュレ
ータ4を駆動する。回路信頼性シミュレータ4は、前記
回路セルの各トランジスタの特性劣化度合を求める。信
頼性ライブラリ生成装置1は回路信頼性シミュレータ4
から前記回路セルの各トランジスタの特性劣化度合を受
け、信頼性モデル3を参照しつつ、前記回路セルの出力
信号の立上り立下り時間の劣化量を求める。このような
動作を、前記4つの動作条件の値を適切な範囲で変化さ
せながら行うことにより、出力信号の立上り立下り時間
の劣化量の前記4つの動作条件に対する依存性を求め
る。この出力信号の立上り立下り時間の劣化量の動作条
件依存性は関数やテーブルで表され、信頼性ライブラリ
6に出力される。以上のような動作を、セルネットリス
ト7に格納された全種類の回路セルについて順次行って
いく。
【0082】図16は本実施形態に係る信頼性ライブラ
リ6が有する、回路セルの出力信号の立上り立下り時間
の劣化量の動作条件依存性を表す情報を示す図であり、
テーブルで表した場合の一回路セルの一出力端子におけ
る出力信号の立下り時間の劣化量の動作条件依存性の例
を示す図である。図16に示すように、4つの動作条件
すなわち入力信号のスイッチング回数[回]、入力信号
の立上り時間[nS]、出力負荷容量[fF]および信
号電圧変動量[V]の各値に対して、出力信号の立下り
時間劣化量[nS]が表されている。なお第1の実施形
態と同様に、図16に示すような情報を関数で表すこと
も可能である。
【0083】セル遅延劣化推定手段2は、遅延劣化量お
よび出力信号の立上り立下り時間の劣化量の動作条件依
存性を表す信頼性ライブラリ6を参照しながら、対象と
する回路セルの遅延劣化量を推定する。
【0084】ここでは例として、図8に示す信号パスに
おけるインバータ31の遅延劣化量を推定するものとす
る。セル遅延劣化推定手段2は、まず対象とする回路セ
ルすなわちインバータ31と、その入力端子35に接続
されている前段の回路セル30とを特定し、その動作条
件の値を抽出する。インバータ31および前段の回路セ
ル30について、LSIネットリスト9から出力負荷容
量9aと容量結合度合9bを、劣化前LSIタイミング
8から入力信号立上り立下り時間8aを、劣化前結果1
0から入力信号スイッチング回数10aと信号遷移情報
10bを、それぞれ抽出する。
【0085】次に、抽出した動作条件の値に基づき、信
頼性ライブラリ6を参照しながら、まず前段の回路セル
30の出力信号立上り立下り時間劣化量を計算する。こ
の劣化量を、すでに抽出したインバータ31の入力信号
立上り立下り時間に加えて、劣化後の入力信号立上り立
下り時間とする。そしてインバータ31について、求め
た劣化後入力信号立上り立下り時間と、すでに抽出した
出力負荷容量9a、容量結合度合9b、入力信号スイッ
チング回数10aおよび信号遷移情報10bとに基づい
て、信頼性ライブラリ6を参照しながら、遅延劣化量を
計算する。以上の処理を全てのLSI中のセルについて
行っていく。
【0086】このように本実施形態によると、回路セル
の遅延劣化量を、遅延劣化量および出力信号の立上り立
下り時間の劣化量の動作条件依存性を表す信頼性ライブ
ラリを参照して、推定するので、劣化によって出力信号
波形が変化する現象も考慮したシミュレーションを実行
することができる。したがって、劣化による出力信号波
形の変化を考慮せず、遅延劣化量のみの動作条件依存性
を表す信頼性ライブラリを参照して回路セルの遅延劣化
量を推定する第1の実施形態に比べて、さらに高精度な
シミュレーションが可能になる。
【0087】(第5の実施形態) 本発明の第5の実施形態に係るLSIのタイミング劣化
シミュレーション装置は、第4の実施形態と同様に、図
1に示す構成において信頼性ライブラリ6が回路セルの
出力信号波形の立上り立下り時間の劣化度合についての
情報を有するものである。ただし本実施形態は、劣化度
合を劣化前の特性値と劣化後の特性値との比で表すこと
を特徴とする。
【0088】すなわち、回路セルの遅延の劣化度合を劣
化前遅延に対する劣化後遅延の比で表し、また回路セル
の出力信号立上り立下り時間の劣化度合を、劣化前の出
力信号立上り立下り時間に対する劣化後の出力信号立上
り立下り時間の比で表すものとする。
【0089】本実施形態に係るLSIのタイミング劣化
シミュレーション装置の動作について説明する。ここで
は、第4の実施形態と異なる点について説明し、それ以
外は説明を省略する。
【0090】第4の実施形態では、式(1)、(4)に
示すように、遅延の劣化度合も出力信号立上り立下り時
間の劣化度合もともに差分で表現していた。本実施形態
では、次のような式に示すように、遅延の劣化度合は、
劣化前遅延t1に対する劣化後遅延t2の比Rによって
表すものとし、出力信号立上り立下り時間の劣化度合
は、劣化前の出力信号立上り立下り時間ts1に対する
劣化後の出力信号立上り立下り時間ts2の比Rsによ
って表すものとする。 R=t2/t1 …(5) Rs=ts2/ts1 …(6)
【0091】信頼性ライブラリ生成装置1は、差分で表
される遅延劣化度合を求める場合と同様に、比で表され
る遅延劣化度合を求める。すなわち、第1の実施形態と
同様に、4つの動作条件の値を適切な範囲で変化させな
がら、回路信頼性シミュレータ4を駆動して、対象とす
る回路セルの遅延の劣化比の前記4つの動作条件に対す
る依存性を求める。同様に、対象とする回路セルの出力
信号立上り立下り時間の劣化比の前記4つの動作条件に
対する依存性を求める。
【0092】図17は本実施形態に係る信頼性ライブラ
リ6が有する,回路セルの遅延劣化比の動作条件依存性
を表す情報を示す図であり、テーブルで表した場合の一
回路セルの一入出力端子間の入力信号立上り時の遅延劣
化比の動作条件依存性の例を示す図である。図17に示
すように、4つの動作条件すなわち入力信号のスイッチ
ング回数[回]、入力信号の立上り時間[nS]、出力
負荷容量[fF]および信号電圧変動量[V]の各値に
対して、遅延劣化比が表されている。出力信号立上り立
下り時間の劣化比の動作依存性についても、同様に表す
ことができる。なお第1の実施形態と同様に、図17に
示すような情報を関数で表すことも可能である。
【0093】セル遅延劣化推定手段2は、遅延劣化比お
よび出力信号の立上り立下り時間の劣化比の動作条件依
存性を表す信頼性ライブラリ6を参照しながら、対象と
する回路セルの遅延劣化比を推定する。
【0094】ここでは例として、図8に示す信号パスに
おけるインバータ31の遅延劣化比を推定するものとす
る。セル遅延劣化推定手段2は、まず対象とする回路セ
ルすなわちインバータ31と、その入力端子35に接続
されている前段の回路セル30とを特定し、その動作条
件の値を抽出する。インバータ31および前段の回路セ
ル30について、LSIネットリスト9から出力負荷容
量9aと容量結合度合9bを、劣化前LSIタイミング
8から入力信号立上り立下り時間8aを、劣化前結果1
0から入力信号スイッチング回数10aと信号遷移情報
10bを、それぞれ抽出する。
【0095】次に、抽出した動作条件の値に基づき、信
頼性ライブラリ6を参照しながら、まず前段の回路セル
30の出力信号立上り立下り時間劣化比を計算する。こ
の劣化比を、すでに抽出したインバータ31の入力信号
立上り立下り時間に掛けて、劣化後の入力信号立上り立
下り時間とする。そしてインバータ31について、求め
た劣化後の入力信号立上り立下り時間と、すでに抽出し
た出力負荷容量9aと容量結合度合9bおよび入力信号
スイッチング回数10aと信号遷移情報10bとに基づ
いて、信頼性ライブラリ6を参照しながら、遅延劣化比
を計算する。
【0096】LSIタイミング劣化推定手段18は、L
SIネットリスト9および遅延ライブラリ13並びに遅
延劣化比で表されたセル遅延劣化度合11を読み込み、
経時的に劣化したLSIにおける各回路セルの遅延を計
算する。LSIネットリスト9および遅延ライブラリ1
3から劣化前のLSIにおける遅延が求まるので、これ
に比で表現されたセル遅延劣化度合11を掛け合わせ
る。この結果は、劣化後LSIタイミング14として出
力される。この劣化後LSIタイミング14も、劣化前
LSIタイミング8と同様にSDFなどの書式で記述さ
れる。
【0097】このように本実施形態によると、セル遅延
劣化度合11において、遅延劣化度合が比という相対的
な情報によって表現されるので、例えば遅延ライブラリ
13と信頼性ライブラリ6とが互いに異なるプロセスパ
ラメータを用いて生成されているような場合であって
も、精度良くシミュレーションを行うことができる。
【0098】(第6の実施形態) 図18は本発明の第6の実施形態に係るLSIのタイミ
ング劣化シミュレーション装置の構成を示す図である。
本実施形態に係るLSIのタイミング劣化シミュレーシ
ョン装置は、第1の実施形態と同様の構成からなるが、
信頼性ライブラリ6が、回路セルに印加される電源電圧
や温度に対する各回路セルの遅延劣化度合の依存性につ
いての情報も有する点が異なる。すなわち、本実施形態
では、信頼性ライブラリ生成装置1は、回路セルの信号
伝搬遅延や出力信号の立上り立下り時間の劣化度合につ
いて、所定の動作条件に対する依存性を、種々の電源電
圧や温度において、セルネットリスト7に基づいて回路
信頼性シミュレータ4によって求めるものである。
【0099】本実施形態に係るLSIのタイミング劣化
シミュレーション装置の動作について説明する。ここで
は、第1の実施形態と異なる点について説明し、それ以
外は説明を省略する。
【0100】信頼性ライブラリ生成装置1は、回路セル
に印加される電源電圧や温度の値を適当な範囲で変化さ
せながら、それぞれの電源電圧または温度において、第
1の実施形態と同様に4つの動作条件を適切な範囲で変
化させながら、遅延劣化量の動作条件依存性を求める。
求められた遅延劣化量の電源電圧毎または温度毎の動作
条件依存性は、関数やテーブルで表され、信頼性ライブ
ラリ6に出力される。このような処理を回路セルの全種
類について順次行っていく。
【0101】図19は本実施形態に係る信頼性ライブラ
リ6が有する回路セルの遅延劣化度合についての情報を
示す図であり、テーブルで表した場合の一回路セルの一
入出力端子間の入力信号立上り時の遅延劣化量の電源電
圧毎の動作条件依存性の例を示す図である。
【0102】セル遅延劣化推定手段2は、所定の電源電
圧61が与えられると、図19に示すような信頼性ライ
ブラリ6が有する情報に基づいて、補間などの手法によ
ってその電源電圧61における遅延劣化量の動作条件依
存性を表すテーブルまたは関数式を生成する。これ以降
の処理は第1の実施形態と同様である。ただし、遅延ラ
イブラリ13も、前記所定の電源電圧61についてあら
かじめ生成されている必要がある。
【0103】また図20は本実施形態に係る信頼性ライ
ブラリ6が有する回路セルの遅延劣化度合についての情
報を示す図であり、テーブルで表した場合の一回路セル
の一入出力端子間の入力信号立上り時の遅延劣化量の温
度毎の動作条件依存性の例を示す図である。
【0104】セル遅延劣化推定手段2は、所定の温度6
2が与えられると、図20に示すような信頼性ライブラ
リ6が有する情報に基づいて、補間などの手法によって
その温度62における遅延劣化量の動作条件依存性を表
すテーブルまたは関数式を生成する。これ以降の処理は
第1の実施形態と同様である。ただし、遅延ライブラリ
13も、前記所定の温度62についてあらかじめ生成さ
れている必要がある。
【0105】このように本実施形態によると、LSIの
劣化の電源電圧や温度に対する依存性も考慮することが
できるので、劣化後のLSIの動作を動作電源電圧の範
囲や動作温度の範囲において、解析できるようになる。
【0106】なお、本実施形態では、LSIの劣化につ
いて、電源電圧に対する依存性と温度に対する依存性と
を個別に考慮するものとしたが、両者を併せて考慮する
ようにしてもよい。この場合には、信頼性ライブラリ6
において、図20に示すような情報を電源電圧毎に準備
しておけばよい。また、所定の電源電圧または温度は、
LSIに対してそれぞれ1つの値を設定してもかまわな
いし、種々の値の中から回路セル毎に1つずつ設定して
もかまわない。
【0107】(第7の実施形態) 本発明の第7の実施形態は、複数の入力端子を有する回
路セルについて、一つの入力端子と出力端子との間の信
号伝搬遅延の劣化を求める場合に、他の入力端子への入
力信号の立上り立下り時間およびスイッチング回数も参
照して求めるものである。本発明の第7の実施形態に係
るLSIのタイミング劣化シミュレーション装置は、第
1の実施形態と同様に図1に示すような構成からなる。
【0108】回路セルとして図21に示すような2入力
NANDゲートを考える。図21において、A,Bは入
力端子、Yは出力端子である。図21に示す2入力NA
NDゲートのトランジスタレベルの回路図は、CMOS
の場合は図22に示すようになる。
【0109】図21に示す2入力NANDゲートにおい
て、入力端子Aと出力端子Yとの間の信号伝搬遅延の劣
化は、入力端子Bへの入力信号(以下「入力信号B」と
いう)のスイッチングの履歴に依存する。一方、入力端
子Bと出力端子Yとの間の信号伝搬遅延の劣化は、入力
端子Aへの入力信号(以下「入力信号A」という)のス
イッチングの履歴に依存する。特に出力信号の立下り時
には、電流は、図22におけるN型MOSトランジスタ
N1,N2が直列接続された部分を主に流れるため、信
号伝搬遅延の劣化度合は、各N型MOSトランジスタN
1,N2の劣化度合に影響される。
【0110】このため本実施形態では、信頼性ライブラ
リ生成装置1は、入力信号Aのスイッチング回数と入力
信号Bのスイッチング回数とに対する依存性を考慮し
た、信頼性ライブラリ6を生成する。
【0111】図23は本実施形態に係る信頼性ライブラ
リ6が有する情報を、カップリングによる出力信号電圧
の電源電圧または接地電圧からの一変動量に対して示し
た図である。図23において、Tisは入力信号の立上り
立下り時間、Cl は出力負荷容量である。またtable n
(Tis,Cl )(ただしn=1〜9)は、入力信号Aの
スイッチング回数をその上方に示す値にするとともに入
力信号Bのスイッチング回数をその左方に示す値にし
て、入力信号の立上り立下り時間Tisと出力負荷容量C
l を適当に変化させて作成した,入力端子Aと出力端子
Yとの間の信号伝搬遅延の劣化度合を示すテーブルであ
る。
【0112】セル遅延劣化推定手段2は劣化前結果10
から入力信号スイッチング回数10aを抽出する。例え
ば入力信号スイッチング回数10aにおいて、入力信号
Aのスイッチング回数が1013であり、入力信号Bのス
イッチング回数が1015であるとすると、セル遅延劣化
推定手段2はテーブルとしてtable 7を選択する。ま
た、信頼性ライブラリ6にテーブルが準備されていない
スイッチング回数が抽出された場合は、補間等によっ
て、そのスイッチング回数についてのテーブルを求め
る。
【0113】このように本実施形態によると、複数の入
力端子を有する回路セルについて、一の入力端子と出力
端子との間の信号伝搬遅延の劣化度合を、他の入力端子
における入力信号の立上り立下り時間およびスイッチン
グ回数も考慮して求めることができ、第1の実施形態に
比べて、シミュレーションの精度を向上させることがで
きる。
【0114】なお本実施形態では、信頼性ライブラリ6
において、情報はテーブル形式で表されるものとした
が、関数によって表されるものとしてもかまわない。
【0115】なお本実施形態では、入力端子A,Bにお
いて入力信号の立上り立下り時間が等しいという仮定の
下に信頼性ライブラリ6にテーブルを準備したが、入力
信号Aの立上り立下り時間と入力信号Bの立上り立下り
時間とは異なるものとして、テーブルを準備してもよ
い。この場合には、table n(Tisa,Tisb,C
l)というように各テーブルの次元をさらに増やす必要
がある。ここで、Tisaは入力信号Aの立上り立下り
時間、Tisbは入力信号Bの立上り立下り時間であ
る。
【0116】(第8の実施形態) 本発明の第8の実施形態は、回路セルの代わりに、クリ
ティカルパスなどの複数の回路セルからなる信号パス
を、遅延推定の単位とするものである。本発明の第8の
実施形態に係るLSIのタイミング劣化シミュレーショ
ン装置は、第1の実施形態と同様に図1に示すような構
成からなる。
【0117】図24は本実施形態において遅延推定の単
位とする信号パスの一例である。図24に示す入力端子
Aから出力端子Yまでの信号パス50は、直列接続され
た4段の回路セル51、52、53、54からなる。2
入力NANDゲート51は一方の入力端子が入力端子A
と接続されており、インバータ52は入力端子が2入力
NANDゲート51の出力端子と接続されており、3入
力NANDゲート53は一の入力端子がインバータ52
の出力端子と接続されており、インバータ54は入力端
子が3入力NANDゲート53の出力端子と接続され、
かつ出力端子が出力端子Yと接続されている。
【0118】2入力NANDゲート51や3入力NAN
Dゲート53のように複数の入力端子を有する回路セル
において、注目する信号パス50とは関係のない端子X
1,X2,X3には、信号が信号パス50に沿って流れ
ていくようにその論理値を固定する。図24に示す信号
パス50では、端子X1,X2,X3の信号には論理値
“1”を固定しておく。
【0119】本実施形態では、図24に示すような信号
パス50を、入力端子Aおよび出力端子Bを有する1つ
の回路セルとして扱うものとする。これ以外の点は第1
の実施形態と同様である。
【0120】すなわち、セル遅延劣化推定手段2は、L
SIを構成する回路セルのうち少なくとも一部のものに
ついて、複数の回路セルからなる信号パスを単位とし
て、遅延劣化度合を推定し、LSIタイミング劣化推定
手段18は、セル遅延劣化推定手段2によって推定され
た信号パスの遅延劣化度合を含むセル遅延劣化度合11
に基づいて、経時的に劣化したLSIにおける前記信号
パスの遅延を推定する。そして、劣化後のLSIにおけ
る前記信号パスの遅延を含む劣化後LSIタイミング1
4に基づいて、LSIの劣化後の動作がシミュレーショ
ンされる。ただし、LSIタイミング劣化推定手段18
による処理において、LSIネットリスト9とセル遅延
劣化度合11とで対応がとれるように、LSIネットリ
スト9に図24に示すような信号パスの定義情報を持た
せておく必要がある。
【0121】このように本実施形態によると、クリティ
カルパスなどの複数段の回路セルからなる信号パスを遅
延推定の単位として扱うので、LSI中の全信号パスに
ついて回路セルを単位として遅延を推定する第1の実施
形態に比べて、簡易に実行でき、しかも全体の演算処理
量を削減することができる。
【0122】なお本実施形態では、注目する信号パスと
関係のない端子X1,X2,X3の信号は固定するもの
としたが、その信号の実際のスイッチング回数を信号パ
スの遅延推定に反映させてもよい。
【0123】なお、対象とするLSI中の回路セルの1
部を信号パス単位で扱い、それ以外の回路セルは第1の
実施の形態のように各回路セルを単位として扱うという
ように2種類の方法を同時に用いてもよい。
【0124】なお本実施形態では、信号パス50は最小
単位の回路セルから構成されていたが、複数階層構造を
もつものであってもよい。
【0125】(第9の実施形態) フリップフロップやラッチのようにデータを記憶する回
路セルは、入力データ信号とこの入力データを取り込む
ためのクロック信号との位相関係や、入力データ信号お
よびクロック信号の有効期間などに応じて、正常動作し
たり誤動作したりする。このため、前記の位相関係や有
効期間などについて回路セルが誤動作しない限界の値を
予め決めておいて、LSI内の回路セル毎に、前記の位
相関係や有効期間が限界値を越えないかどうかを検査す
ることを、たとえば論理シミュレータによって行う。予
め決めておいた前記の位相関係や有効期間などの限界値
のことを、タイミングチェック値(timing constraint
s)という。
【0126】タイミングチェック値としては、セットア
ップ時間、ホールド時間、最小パルス幅、リカバリ時
間、リムーバブル時間、リリース時間などがある。
【0127】例えばフリップフロップの場合、セットア
ップ時間は、クロック信号が有効になるどのくらい前
に、入力データ信号が確定していなければならないか、
を定めたものである。またホールド時間は、クロック信
号が有効になってからどのくらい後まで、入力データ信
号が保持されていないといけないか、を定めたものであ
る。また最小パルス幅は、回路セルが正常動作可能であ
る、クロック信号の有効期間(パルス幅)の最小値を表
すものである。
【0128】このようなタイミングチェック値は、回路
セル内の信号伝搬状態に依存するため、経時的劣化によ
って回路セル内のトランジスタ特性が劣化すれば、回路
セル内の信号伝搬状態も変化するので、この変化に合わ
せてタイミングチェック値も変える必要がある。
【0129】本発明の第9の実施形態は、回路セルの遅
延だけでなく、回路セルのタイミングチェック値につい
ても劣化度合を推定し、推定した回路セルのタイミング
チェック値の劣化度合に基づいて劣化後のタイミングチ
ェック値を求めて、LSIの動作タイミングの検査を行
うものである。
【0130】図25は本発明の第9の実施形態に係るL
SIのタイミング劣化シミュレーション装置の構成を示
すブロック図である。図25に示すように、本実施形態
に係るLSIのタイミング劣化シミュレーション装置
は、信頼性ライブラリ6Aが有するタイミングチェック
値の情報(タイミングチェック値6b)からタイミング
チェック値劣化度合72を推定するタイミングチェック
値劣化推定手段71を備えており、セル遅延劣化推定手
段2およびタイミングチェック値劣化推定手段71によ
って劣化推定手段70が構成されている。信頼性ライブ
ラリ6Aが有するセル遅延の情報(セル遅延6a)は、
第1〜第8の実施形態における信頼性ライブラリ6と同
様の情報を有する。また遅延ライブラリ13Aは、セル
遅延の情報(セル遅延13a)とタイミングチェック値
の情報(タイミングチェック値13b)を備えており、
セル遅延13aは第1〜第8の実施形態における遅延ラ
イブラリ13と同様の情報を有する。
【0131】まず、遅延ライブラリ13Aにおけるタイ
ミングチェック値13bの生成について、説明する。こ
こではフリップフロップのセットアップ時間の場合を例
にとって説明する。
【0132】クロック信号が有効になる時刻tcに対し
て、入力データ信号が有効になる時刻tdを時刻tcの
前で適当な時間間隔でスイープして(すなわちクロック
−入力データの位相差を変化させて)、入力データがフ
リップフロップに正しく取り込まれる限界の時間差(t
c−td)をセットアップ時間として求める。
【0133】セットアップ時間のようなタイミングチェ
ック値は、クロック信号および入力データ信号の立上り
時間および立下り時間(セットアップ時間ではクロック
信号が論理値“1”で有効になる回路セルの場合はクロ
ック信号については立下り時間は不要)、並びに回路セ
ルの電源電圧および温度に影響を受けるので、これらを
動作条件とし、各動作条件を適切な範囲で変化させなが
らタイミングチェック値を求めていき、全体として、タ
イミングチェック値の動作条件依存性を求める。通常
は、遅延ライブラリ生成装置(図示せず)がSPICE
などの回路シミュレータ(図示せず、回路信頼性シミュ
レータ4とは異なるもの)を駆動して、前記のような解
析を行う。
【0134】このようにして、遅延ライブラリ生成装置
は、フリップフロップやラッチなどの回路セルについ
て、タイミングチェック値の動作条件依存性をそれぞれ
求めていき、回路セルの遅延の動作条件依存性の情報と
ともに遅延ライブラリ13Aに出力する。
【0135】図26は遅延ライブラリ13Aのタイミン
グチェック値13bが持つ情報の一例であり、劣化前の
フリップフロップのセットアップ時間の動作条件依存性
の例を示す図である。図26において、Tisckはクロッ
ク信号の立上り時間、Tisd は入力データ信号の立上り
時間である。なお図26では、電源電圧および温度は固
定されているものとする。
【0136】次に、信頼性ライブラリ6Aにおけるタイ
ミングチェック値6bの生成について、説明する。
【0137】タイミングチェック値の劣化度合は、クロ
ック信号および入力データ信号の立上り時間および立下
り時間、並びに回路セルの電源電圧および温度に加え
て、クロック信号および入力データ信号のスイッチング
回数の影響を受けるので、これらを動作条件として、各
動作条件を適切な範囲で変化させながらタイミングチェ
ック値を求めていき、全体として、タイミングチェック
値の劣化度合の動作条件依存性を求める。
【0138】ここでは、タイミングチェック値の劣化度
合を、次式に示すような、劣化後のタイミングチェック
値tt2と劣化前のタイミングチェック値tt1との差
分Δttすなわちタイミングチェック値劣化量で表すも
のとする。 Δtt=tt2−tt1 …(7)
【0139】信頼性ライブラリ生成装置1Aは、各動作
条件の値をそれぞれある値に設定し、すでに読み込ん
だ、対象とする回路セルのネットリスト7の情報ととも
に回路信頼性シミュレータ4に渡し、回路信頼性シミュ
レータ4を駆動する。回路信頼性シミュレータ4は前記
回路セルの各トランジスタの特性劣化度合を求める。信
頼性ライブラリ生成装置1Aは回路信頼性シミュレータ
4から前記回路セルの各トランジスタの特性劣化度合を
受け、信頼性モデル3を参照しつつ、前記回路セルのタ
イミングチェック値劣化量を求める。
【0140】フリップフロップのセットアップ時間の劣
化量を例にとると、劣化前と劣化後についてそれぞれ、
クロック信号が有効になる時刻tcに対して入力データ
信号が有効になる時刻tdを時刻tcの前で適当な時間
間隔でスイープして、入力データがフリップフロップに
正しく取り込まれる限界の時間差(tc−td)を、セ
ットアップ時間として求める。劣化前の時間差と劣化後
の時間差との差から、劣化量を求める。
【0141】このような動作を、各動作条件の値を適切
な範囲で変化させながら行うことによって、前記回路セ
ルのタイミングチェック値の劣化量の動作条件依存性が
求まる。このタイミングチェック値劣化量の動作条件依
存性は関数やテーブルで表され、信頼性ライブラリ6A
のタイミングチェック値6bに出力される。以上のよう
な動作を、セルネットリスト7に格納された回路セルの
中で必要なものについて、順次行っていく(タイミング
チェック値が必要になるのは、フリップフロップやラッ
チなどの回路セルに限られるため)。
【0142】図27および図28は、信頼性ライブラリ
6Aのタイミングチェック値6bが持つ情報の一例であ
り、フリップフロップのセットアップ時間の劣化量の動
作条件依存性を表す情報を示す図である。図27におい
て、Tisckはクロック信号の立上り立下り時間、Tisd
は入力データ信号の立上り立下り時間である。またtabl
e n(Tisck,Tisd )(ただしn=1〜9)は、クロ
ック信号のスイッチング回数をその上方に示す値にする
とともに入力データ信号のスイッチング回数をその左方
に示す値にして、クロック信号の立上り立下り時間Tis
ckと入力データ信号の立上り立下り時間Tisd を適当に
変化させて作成した,セットアップ時間の劣化量を示す
テーブルである。また図28において、(a)はtable
1の例を,(b)はtable 2の例を、それぞれ示してい
る。ただし図27および図28では、電源電圧および温
度は固定されているものとする。
【0143】タイミングチェック値劣化推定手段71
は、信頼性ライブラリ6Aのタイミングチェック値6b
から、タイミングチェック値劣化度合72を求める。
【0144】まず、劣化後のタイミングチェック値を求
める必要がある回路セル(フリップフロップやラッチ)
をLSIネットリスト9から抽出する。そして、抽出し
た全回路セルについて、以下のような手順でタイミング
チェック値の劣化量を求める。
【0145】抽出した回路セルについて、劣化前LSI
タイミング8に含まれる入力信号の立上り立下り時間8
aを参照して、タイミングチェック値の劣化量を求める
ために必要になる,クロック信号や入力データ信号など
の立上り立下り時間を順次抽出する。また抽出した回路
セルについて、劣化前結果10に含まれる入力信号のス
イッチング回数10aを参照して、タイミングチェック
値の劣化量を求めるために必要になる,クロック信号や
入力データ信号などのスイッチング回数を順次抽出す
る。
【0146】次に、信頼性ライブラリ6Aのタイミング
チェック値6bすなわちタイミングチェック値劣化量の
動作条件依存性を参照し、抽出した,クロック信号や入
力データ信号などの立上り立下り時間およびスイッチン
グ回数から、前記回路セルのタイミングチェック値の劣
化量を求める。この場合、タイミングチェック値6bが
テーブルで表されているときは、内挿などによって求め
る。求めた各回路セルのタイミングチェック値の劣化量
はタイミングチェック値劣化度合72に出力される。
【0147】LSIタイミング劣化推定手段18Aは、
劣化前のLSIにおけるタイミングチェック値である遅
延ライブラリ13Aのタイミングチェック値13bに、
差分で表されたタイミングチェック値劣化度合72を加
えることによって、劣化後のLSIにおけるタイミング
チェック値を求め、劣化後LSIタイミング14Aに出
力する。
【0148】論理シミュレータ15Aは、LSI内のフ
リップフロップやラッチについて、劣化後におけるクロ
ック信号や入力データ信号の変化を論理シミュレーショ
ンによって推定することができるので、劣化後のLSI
において回路セルが正常動作するか否かを、劣化後LS
Iタイミング14Aに含まれるタイミングチェック値に
基づいて、検査する。
【0149】例えばフリップフロップについて、クロッ
ク信号が有効になる時刻tcと入力データ信号が有効に
なる時刻tdとの時間差(tc−td)が、劣化前は
3.0[nS]であり、劣化後は劣化後LSIタイミン
グ14Aに基づいて論理シミュレーションした結果、
2.2[nS]であったとする。またタイミングチェッ
ク値としてのセットアップ時間は、劣化前は2.1[n
S]であり、劣化後は2.5[nS]であったとする。
このとき、劣化前では、時間差(tc−td)はセット
アップ時間よりも大きいので、フリップフロップは正常
動作すると判断されるが、劣化後は時間差(tc−t
d)はセットアップ時間に対して0.3[nS](=
2.5−2.2)足りないので、フリップフロップはタ
イミングチェックを満足せず、誤動作すると判断され
る。論理シミュレータ15Aはこのような判断結果を、
劣化後結果17Aに出力する。
【0150】なお各実施形態において、LSIのタイミ
ング劣化シミュレーション装置は信頼性ライブラリ生成
装置1を備えている構成としたが、信頼性ライブラリ生
成装置1,1Aは本発明において必ずしも必須の構成要
素ではない。すなわち、予め作成された信頼性ライブラ
リ6,6Aを参照してLSIの劣化後の動作をシミュレ
ーションする,LSIのタイミング劣化シミュレーショ
ン装置としても、本発明は実現可能である。
【0151】以上の実施の形態1〜9はあくまでも一例
を紹介、説明したものであり、それだけに限定するもの
ではない。このため本発明の範囲においての別の実施形
態や、本実施形態からの変更もありうる。
【0152】なお、第1〜第9の実施形態においては、
配線間のカップリングノイズについて扱った。実際のL
SIでは、配線間のカップリングノイズ以外にも、例え
ばLSI内部の電源線経由のノイズ、LSI内部のシリ
コンなどの基板経由のノイズ、熱ノイズなどの種々のノ
イズが発生している。これらのノイズもトランジスタの
ホットキャリア劣化に影響を与えているため、劣化シミ
ュレーションにおいて解析できることは重要である。本
発明に係るタイミング劣化シミュレーションにおいて、
これらのノイズを配線間のカップリングノイズと同様に
扱うためには、これらのノイズの影響を、各実施形態で
示したシミュレーション装置によって処理できる形に等
価的に変換して表わす方法が考えられる。
【0153】LSI内部の電源線経由のノイズ(以下
「電源ノイズ」という)を例にとって説明する。図3に
おいて、結合信号の遷移を電源ノイズの発生タイミング
とし、またこの電源ノイズによって、出力信号にΔVの
電圧変動が生じると考える。すなわち、電源ノイズにつ
いては、着目する配線に容量結合している配線をその発
生源とみなし、容量結合度合を電源ノイズ度合すなわち
電源ノイズ発生源におけるノイズから対象とする回路セ
ルに伝搬するノイズの割合とみなし、信号電圧変動量Δ
Vを電源ノイズによって回路セルに発生する,ホットキ
ャリア劣化の観点で等価になるような電源ノイズ量とみ
なす。このように等価的に対応させて処理することによ
って、全ての処理を第1〜第9の実施形態と同様に扱う
ことができるので、配線間のカップリングノイズ以外の
ノイズについてもシミュレーション可能となる。
【0154】
【発明の効果】以上のように、本発明のLSIのタイミ
ング劣化シミュレーション装置によれば、配線間のカッ
プリングノイズの影響を加味しながら、LSIを構成す
る回路セルのタイミング劣化を個々の回路セルの置かれ
た動作条件で求め、さらにLSIの動作に応じた信号の
流れで信号パスのタイミングの劣化現象がシミュレーシ
ョンで扱えるようになるため、回路セル単位の寿命の検
証のみを行う従来方法に比べ、過剰な設計マージンを含
まずにすむという効果を有する。同時にLSI規模の大
規模回路でのタイミング劣化のシミュレーションも実現
する。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係るLSIのタイミ
ング劣化シミュレーション装置の構成を示すブロック図
である。
【図2】回路セルの一般的な構成を概念的に示す図であ
る。
【図3】カップリングノイズに起因する出力信号電圧の
変動を模式的に示す図であり、出力信号が電源電圧VD
DからΔVだけ高くなる場合を示す図である。
【図4】カップリングノイズに起因する出力信号電圧の
変動を模式的に示す図であり、出力信号が接地電圧GN
DからΔVだけ低くなる場合を示す図である。
【図5】信頼性ライブラリ生成のためのシミュレーショ
ンに用いる、近似した信号波形を示す図である。
【図6】本発明の第1の実施形態に係る信頼性ライブラ
リが有する情報の一例を示す図である。
【図7】インバータの出力信号線の寄生容量成分の例を
示す図である。
【図8】LSIの信号パスの一例を示す図である。
【図9】容量結合度合とカップリングノイズに起因する
信号電圧変動量との関係を示すテーブルである。
【図10】図7に示すインバータの出力信号線について
の、結合容量毎の容量結合度合とカップリングノイズに
起因する信号電圧変動量との関係を示す図である。
【図11】劣化に影響のない結合信号の信号遷移を示す
図である。
【図12】図7に示すインバータの出力信号線について
の、信号遷移情報の抽出結果の例を示す図である。
【図13】本発明の第2の実施形態に係るLSIのタイ
ミング劣化シミュレーション装置の構成を示すブロック
図である。
【図14】本発明の第3の実施形態に係るLSIのタイ
ミング劣化シミュレーション装置の構成を示すブロック
図である。
【図15】劣化前と劣化後とにおける、インバータの入
力信号と出力信号の波形を示す図である。
【図16】本発明の第4の実施形態に係る信頼性ライブ
ラリが有する情報の一例を示す図である。
【図17】本発明の第5の実施形態に係る信頼性ライブ
ラリが有する情報の一例を示す図である。
【図18】本発明の第6の実施形態に係るLSIのタイ
ミング劣化シミュレーション装置の構成を示すブロック
図である。
【図19】本発明の第6の実施形態に係る信頼性ライブ
ラリが有する情報の一例を示す図である。
【図20】本発明の第6の実施形態に係る信頼性ライブ
ラリが有する情報の一例を示す図である。
【図21】本発明の第7の実施形態における複数の入力
端子を有する回路セルとしての、2入力NANDゲート
を示す図である。
【図22】図21に示す2入力NANDゲートのトラン
ジスタレベルの回路図である。
【図23】本発明の第7の実施形態に係る信頼性ライブ
ラリが有する情報の一例を示す図である。
【図24】本発明の第8の実施形態において処理の単位
とする、複数の回路セルからなる信号パスの一例を示す
図である。
【図25】本発明の第9の実施形態に係るLSIのタイ
ミング劣化シミュレーション装置の構成を示すブロック
図である。
【図26】本発明の第9の実施形態に係る遅延ライブラ
リが持つタイミングチェック値の情報の一例を示す図で
あり、劣化前のフリップフロップのセットアップ時間の
動作条件依存性を表す情報を示す図である。
【図27】本発明の第9の実施形態に係る信頼性ライブ
ラリが持つタイミングチェック値の情報の一例を示す図
であり、フリップフロップのセットアップ時間の劣化量
の動作条件依存性を表す情報を示す図である。
【図28】本発明の第9の実施形態に係る信頼性ライブ
ラリが持つタイミングチェック値の情報の一例を示す図
であり、(a)は図27におけるtable 1の例、(b)
は図27におけるtable 2の例である。
【図29】通常のDSPFネットリストの例である。
【図30】配線のカップリングについての情報を追加し
たDSPFネットリストの例である。
【符号の説明】
1,1A 信頼性ライブラリ生成装置 2 セル遅延劣化推定手段 3 信頼性モデル 4 回路信頼性シミュレータ 6,6A 信頼性ライブラリ 8a 入力信号の立上り立下り時間 9a 出力負荷容量 9b 容量結合度合 10a 入力信号のスイッチング回数 10b 信号遷移情報 11 セル遅延劣化度合 12,12A,12B 遅延計算機 15,15A 論理シミュレータ 18,18A LSIタイミング劣化推定手段 20 回路セル 21 入力端子 22 出力端子 61 電源電圧 62 温度 70 劣化推定手段 71 タイミングチェック値劣化推定手段 72 タイミングチェック値劣化度
フロントページの続き (56)参考文献 特開 平9−292436(JP,A) 特開 平9−330344(JP,A) 特開 平8−255189(JP,A) 特開 平10−124565(JP,A) Peng Fang, et a l.,Circuit Hot Car rier Reliability S imulation in Advan ced CMOS Process T echnology Developm ent,IRW Final Repo rt,IEEE,1994年10月19日,Vo l.1994,p.73−78 (58)調査した分野(Int.Cl.7,DB名) G06F 17/50 666 G06F 17/50 668 H01L 21/82

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】 LSIの経時的劣化を設計段階において
    予測し、LSIの劣化後の動作をシミュレーションする
    LSIのタイミング劣化シミュレーション装置であっ
    て、 対象とするLSIを構成する各回路セルの遅延の経時的
    な劣化度合を、回路セルの特性劣化度合の、少なくと
    も、カップリングノイズに起因する信号電圧変動量を含
    む、所定の動作条件に対する依存性を表す信頼性ライブ
    ラリを参照しつつ、前記LSIの動作時における当該回
    路セルの前記所定の動作条件の値に基づいて、推定する
    セル遅延劣化推定手段と、 前記セル遅延劣化推定手段によって推定された各回路セ
    ルの遅延劣化度合に基づいて、経時的に劣化した前記L
    SIにおける各回路セルの遅延を推定するLSIタイミ
    ング劣化推定手段と、 劣化前の前記LSIにおける各回路セルの遅延を推定す
    る遅延計算機とを備え、 前記LSIタイミング劣化推定手段によって推定され
    た,劣化後の前記LSIにおける各回路セルの遅延に基
    づいて、前記LSIの劣化後の動作をシミュレーション
    し、 前記遅延計算機によって推定された劣化前の前記LSI
    における各回路セルの遅延に基づいて、前記LSIの劣
    化前の動作をシミュレーションするものであり、かつ、 LSIネットリストを参照するものであり、 前記LSIネットリストは、配線容量素子について、L
    SIのタイミング計算時には、対接地容量として認識で
    き、配線間のカップリングノイズ計算時には、当該配線
    とこの配線と容量結合している配線との間の配線容量と
    して認識できるよう、記述されていることを特徴とする
    LSIのタイミング劣化シミュレーション装置。
  2. 【請求項2】 LSIの経時的劣化を設計段階において
    予測し、LSIの劣化後の動作をシミュレーションする
    LSIのタイミング劣化シミュレーション装置であっ
    て、 対象とするLSIを構成する各回路セルの遅延の経時的
    な劣化度合を、回路セルの特性劣化度合の、少なくと
    も、カップリングノイズに起因する信号電圧変動量を含
    む、所定の動作条件に対する依存性を表す信頼性ライブ
    ラリを参照しつつ、前記LSIの動作時における当該回
    路セルの前記所定の動作条件の値に基づいて、推定する
    セル遅延劣化推定手段と、 前記セル遅延劣化推定手段によって推定された各回路セ
    ルの遅延劣化度合に基づいて、経時的に劣化した前記L
    SIにおける各回路セルの遅延を推定するLSIタイミ
    ング劣化推定手段と、 劣化前の前記LSIにおける各回路セルの遅延を推定す
    る遅延計算機とを備え、 前記LSIタイミング劣化推定手段によって推定され
    た,劣化後の前記LSIにおける各回路セルの遅延に基
    づいて、前記LSIの劣化後の動作をシミュレーション
    し、 前記遅延計算機によって推定された劣化前の前記LSI
    における各回路セルの遅延に基づいて、前記LSIの劣
    化前の動作をシミュレーションするものであり、 前記セル遅延劣化推定手段は、 LSIネットリストを参照して、前記LSIの各配線に
    ついて、容量結合を有する相手先の配線との容量結合度
    合をそれぞれ求め、 容量結合度合と信号電圧変動量との関係から、各配線に
    ついて、それぞれの結合容量についての信号電圧変動量
    を求め、 前記LSIの劣化前動作のシミュレーション結果から、
    各配線について、容量結合を有する相手先の配線におい
    て、劣化に影響のある結合信号の遷移の有無を抽出し、 前記所定の動作条件としての信号電圧変動量の値とし
    て、信号遷移が有の場合は、求めた信号電圧変動量をそ
    のまま用い、信号遷移が無の場合は、0Vとすることを
    特徴とするLSIのタイミング劣化シミュレーション装
    置。
  3. 【請求項3】 LSIのタイミング劣化シミュレーショ
    ン装置において、LSIの経 時的劣化を設計段階におい
    て予測し、LSIの劣化後の動作をシミュレーションす
    るLSIのタイミング劣化シミュレーション方法であっ
    て、 前記LSIのタイミング劣化シミュレーション装置が有
    するセル遅延劣化推定手段が、対象とするLSIを構成
    する各回路セルの遅延の経時的な劣化度合を、回路セル
    の特性劣化度合の、少なくとも、カップリングノイズに
    起因する信号電圧変動量を含む、所定の動作条件に対す
    る依存性を表す信頼性ライブラリを参照しつつ、前記L
    SIの動作時における当該回路セルの前記所定の動作条
    件の値に基づいて、推定するセル遅延劣化推定工程と、 前記LSIのタイミング劣化シミュレーション装置が有
    するLSIタイミング劣化推定手段が、前記セル遅延劣
    化推定工程において推定した各回路セルの遅延劣化度合
    に基づいて、経時的に劣化した前記LSIにおける各回
    路セルの遅延を推定するLSIタイミング劣化推定工程
    と、 前記LSIのタイミング劣化シミュレーション装置が有
    する遅延計算機が、劣化前の前記LSIにおける各回路
    セルの遅延を推定する遅延計算工程と、 前記LSIのタイミング劣化シミュレーション装置が有
    する論理シミュレータが、前記LSIタイミング劣化推
    定工程において推定した劣化後の前記LSIにおける各
    回路セルの遅延に基づいて、前記LSIの劣化後の動作
    をシミュレーションし、前記遅延計算工程において推定
    した劣化前の前記LSIにおける各回路セルの遅延に基
    づいて、前記LSIの劣化前の動作をシミュレーション
    するシミュレーション工程とを備え、 前記セル遅延劣化推定工程は、 LSIネットリストを参照して、前記LSIの各配線に
    ついて、容量結合を有する相手先の配線との容量結合度
    合をそれぞれ求めるステップと、 容量結合度合と信号電圧変動量との関係から、各配線に
    ついて、それぞれの結合容量についての信号電圧変動量
    を求めるステップと、 前記LSIの劣化前動作のシミュレーション結果から、
    各配線について、容量結合を有する相手先の配線におい
    て、劣化に影響のある結合信号の遷移の有無を抽出する
    ステップと、 前記所定の動作条件としての信号電圧変動量の値とし
    て、劣化に影響のある結合信号の遷移が有の場合は、求
    めた信号電圧変動量をそのまま用い、無の場合は、0V
    とするステップとを有することを特徴とするLSIのタ
    イミング劣化シミュレーション方法。
JP01985698A 1998-01-30 1998-01-30 Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法 Expired - Fee Related JP3519591B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP01985698A JP3519591B2 (ja) 1998-01-30 1998-01-30 Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01985698A JP3519591B2 (ja) 1998-01-30 1998-01-30 Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法

Publications (2)

Publication Number Publication Date
JPH11219380A JPH11219380A (ja) 1999-08-10
JP3519591B2 true JP3519591B2 (ja) 2004-04-19

Family

ID=12010884

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01985698A Expired - Fee Related JP3519591B2 (ja) 1998-01-30 1998-01-30 Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法

Country Status (1)

Country Link
JP (1) JP3519591B2 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6795802B2 (en) 2000-03-17 2004-09-21 Matsushita Electric Industrial Co., Ltd. Apparatus and method for calculating temporal deterioration margin amount of LSI, and LSI inspection method
JP4095753B2 (ja) 2000-03-30 2008-06-04 株式会社ルネサステクノロジ コンピュータ読み取り可能な記憶媒体、および半導体装置の設計方法
JP2008176450A (ja) * 2007-01-17 2008-07-31 Matsushita Electric Ind Co Ltd 半導体集積回路のタイミング検証方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Peng Fang, et al.,Circuit Hot Carrier Reliability Simulation in Advanced CMOS Process Technology Development,IRW Final Report,IEEE,1994年10月19日,Vol.1994,p.73−78

Also Published As

Publication number Publication date
JPH11219380A (ja) 1999-08-10

Similar Documents

Publication Publication Date Title
KR100485915B1 (ko) 대규모집적회로의타이밍열화시뮬레이션장치및시뮬레이션방법
JP3569681B2 (ja) 半導体集積回路における電源電流波形の解析方法及び解析装置
Nithin et al. Dynamic voltage (IR) drop analysis and design closure: Issues and challenges
US8924905B1 (en) Constructing equivalent waveform models for static timing analysis of integrated circuit designs
Lorenz et al. Aging analysis at gate and macro cell level
US8533644B1 (en) Multi-CCC current source models and static timing analysis methods for integrated circuit designs
US7240304B2 (en) Method for voltage drop analysis in integreted circuits
US20090187868A1 (en) Design of integrated circuits less susceptible to degradations in transistors caused due to operational stress
US20120123745A1 (en) Adaptive Content-aware Aging Simulations
KR19980080808A (ko) 집적 회로 장치의 제조 방법 및집적 회로 장치에 대한 논리 검사 방법
US20090210831A1 (en) CMOS Circuit Leakage Current Calculator
JP3519591B2 (ja) Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法
JP3243434B2 (ja) Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法
US6959250B1 (en) Method of analyzing electromagnetic interference
JP2004054522A (ja) 半導体装置の同時スイッチングノイズ評価方法
Nandith et al. A novel approach for statistical parameter estimation and test pattern generation
US20020077799A1 (en) Delay time estimation method and recording medium storing estimation program
US20120249230A1 (en) Integrated circuit power consumption calculating apparatus and processing method
JP2006339610A (ja) 半導体集積回路装置の信頼性検証方法、半導体集積回路装置の信頼性検証装置、及びコンピュータプログラム
US20160217239A1 (en) Method and system for selecting stimulation signals for power estimation
Posser et al. Electromigration Inside Logic Cells
US8464195B1 (en) Integrated circuit clock analysis with macro models
Holst et al. Timing-accurate estimation of IR-drop impact on logic-and clock-paths during at-speed scan test
JP4066399B2 (ja) 集積回路におけるホットキャリア効果のシミュレーション方法
US7207021B2 (en) Method for estimating a frequency-based ramptime limit

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20031014

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040127

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040129

LAPS Cancellation because of no payment of annual fees