KR100398034B1 - 반도체 소자의 구리 배선 형성 방법 - Google Patents

반도체 소자의 구리 배선 형성 방법 Download PDF

Info

Publication number
KR100398034B1
KR100398034B1 KR10-2000-0084726A KR20000084726A KR100398034B1 KR 100398034 B1 KR100398034 B1 KR 100398034B1 KR 20000084726 A KR20000084726 A KR 20000084726A KR 100398034 B1 KR100398034 B1 KR 100398034B1
Authority
KR
South Korea
Prior art keywords
barrier metal
copper
metal layer
forming
layer
Prior art date
Application number
KR10-2000-0084726A
Other languages
English (en)
Other versions
KR20020055302A (ko
Inventor
민우식
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR10-2000-0084726A priority Critical patent/KR100398034B1/ko
Publication of KR20020055302A publication Critical patent/KR20020055302A/ko
Application granted granted Critical
Publication of KR100398034B1 publication Critical patent/KR100398034B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 반도체 소자의 구리 배선 형성 방법에 관한 것으로, 절연막 패턴 상부에 1차 장벽 금속층을 증착한 후 대기중에 노출시키거나 기체 분위기에서 열처리를 실시하고, 2차 장벽 금속층을 증착한 후 구리층을 형성함으로써 장벽 특성을 향상시키는 동시에 구리 (111) 방향성을 발달시켜 구리 배선의 신뢰성을 향상시킬 수 있는 반도체 소자의 구리 배선 형성 방법이 제시된다.

Description

반도체 소자의 구리 배선 형성 방법{Method of forming a copper wiring in a semiconductor device}
본 발명은 반도체 소자의 구리 배선 형성 방법에 관한 것으로, 특히, 절연막 패턴 상부에 1차 장벽 금속층(barrier metal)을 증착하고 대기중에 노출시키거나 기체 분위기에서 열처리를 실시한 후 2차 장벽 금속층을 형성함으로써 장벽 특성을 향상시키는 동시에 구리 (111) 방향성(texture)을 발달시켜 구리 배선의 신뢰성을 향상시킬 수 있는 반도체 소자의 구리 배선 형성 방법에 관한 것이다.
반도체 소자의 금속 배선 재료로 알루미늄을 사용할 경우 알루미늄은 절연막으로 사용되는 실리콘 산화막(SiO2)으로의 확산이 전혀 일어나지 않기 때문에 측벽에 장벽 금속층이 증착되지 않아도 된다. 그런데, 구리는 알루미늄과는 달리 층간 절연막으로 사용되는 실리콘 산화막으로 확산되며, 층간 절연막을 통해 확산된 구리는 실리콘내에서 깊은 준위(deep level)로 존재하게 된다. 즉, 구리는 실리콘내에서 깊은 준위(deep level) 도펀트로 작용하여 실리콘의 금지대(forbidden band)내에 여러개의 어셉터(acceptor)와 도우너 (donor) 준위를 형성시킨다. 이들 깊은 준위가 생성(generation)-재조합 (recombination)의 소오스(source)로 작용하여 누설 전류(leakage current)를 발생시키고, 이에 의해 소자가 파괴된다. 따라서, 구리를 배선 공정에 도입하려면 이종 금속과 접촉하는 기저부(bottom) 뿐만 아니라측벽(side wall)의 절연 재료에 대한 장벽 금속이 필요하다.
구리 배선 공정은 IC 회로의 크기가 축소함에 따라 사용이 불가피해지는 공정이므로 깊은 콘택(deep contact) 또는 트렌치 패턴(trench pattern)에 적용된다. 또한, 장벽 금속층 증착 공정의 한계성으로 인하여 스텝 커버리지가 점차 나빠지므로 기저부 또는 측벽에 증착되는 장벽 금속층의 두께는 점점 얇아지게 되고, 구리 배선의 유효 저항을 높이지 않기 위해서라도 장벽 금속층의 두께에는 제한이 따르게 된다. 예를 들어 현재 실용화 단계에 있는 HCM(hollow cathode magnetron) TaNx, IMP(ion metal plasma) TaNx와 같은 개선된 이온화(advanced ionized) PVD 방식으로 증착된 장벽 금속층의 경우 측벽의 스텝 커버리지가 10%를 넘지 않으므로 장벽 금속층의 두께는 30Å을 넘지 않는다. 또한, PVD 방식의 한계점으로 인하여 CVD 방식으로 장벽 금속층을 증착한다 하더라도 ITRS(International Technology Roadmap for Semiconductor)에 따르면 0.07㎛ 이하에서는 장벽 금속층에 허용되는 두께가 최대 30Å정도인 것으로 예상하고 있다. 따라서, 구리에 대한 장벽 금속층의 역할을 수행하려면 장벽 금속층의 내부가 그레인 바운더리(grain boundary)와 같은 결함이 전혀 없는 완벽한 비정질 구조가 되어야 하는데, 이와 같은 막을 제조하는 것은 거의 불가능하다.
한편, PVD 방식으로 장벽 금속층을 증착할 때 현재 실용화 단계에 있는 HCM TaNx, IMP TaNx 등과 같은 재료들도 완전한 비결정질 구조가 아닌 단결정의 결정질 구조를 가지고 있으므로 막의 두께가 매우 얇을 경우 장벽 특성의 약화가 쉽게 예상된다. 단지 이를 평가하기 위한 방식으로 표준화된 것이 없을 따름이다.
본 발명의 목적은 결함이 존재하지 않는 장벽 금속층을 형성함으로써 구리 배선을 증착하는 동안에 층간 절연막을 통한 구리의 확산을 방지할 수 있는 반도체 소자의 구리 배선 형성 방법을 제공하는데 있다.
본 발명의 다른 목적은 구리 (111) 방향성을 발달시켜 구리 배선의 신뢰성을 향상시킬 수 있는 반도체 소자의 구리 배선 형성 방법을 제공하는데 있다.
구리에 대한 장벽 금속층의 역할을 수행하려면 장벽 금속층 내부는 그레인 바운더리와 같은 결함이 전혀 없는 완벽한 비정질 구조가 되어야 한다. 그러나 구리 배선 공정에 적용될 장벽 금속층은 대부분 나노결정(nanocrystalline) 구조를 갖게 되는데, 이들의 두께가 수십Å 이하로 매우 얇아질 경우는 미세한 그레인 바운더리가 결함의 역할을 할 수 있기 때문에 구리에 대한 장벽 금속층으로써 적합하지 않게 된다. 따라서, 장벽 금속층을 증착한 후 대기중에 노출시키거나 기체 분위기에서 열처리 공정을 실시하여 결함이 존재하는 부분을 O, C, H 등의 원자들로 채움으로써 장벽 능력을 향상시킨다.
기존의 알루미늄 배선 공정에서는 주상정 구조를 갖는 TiN의 표면을 공기중에 노출시켜 표면 및 결정 계면에 Ti-N-O를 형성시킴으로써 장벽으로써의 역할을 향상시키는 것이 알려져 있으며 실용화되어 있다(산소 스터핑(Oxegen Stuffing) 효과). 이와 같은 TiN을 구리 배선 공정에 적용할 경우에는 장벽 금속으로써의 산소 스터핑 효과가 없는 것으로 보고되었다. 그러나, 이는 TiN을 구리와 실리콘의 계면에 수백∼수천Å의 두께로 매우 두껍게 증착시켜 고온(500∼800℃)에서 실리콘과 구리의 반응성 여부를 통해 장벽 금속층을 평가한 것이므로 신뢰성이 없는 결과이다. 실제로 구리 배선 공정의 경우에는 알루미늄 배선 공정과는 달리 고온 공정을 수반하지 않기 때문에(최대 450℃를 넘지 않는다) 공기중에 노출되었을 때 장벽 금속층 표면 또는 결함등에 흡착되어 있거나 결합되어 있는 O, H, C, N 등은 구리 원자 또는 이온의 이동을 막게 된다. 즉, 구리 배선 공정의 가용 온도(450℃ 이하)에서는 원자들의 진동(vibration)이 크지 않기 때문에 알루미늄에서와 같은 산소 스터핑 효과를 적용시킬 수 있게 된다.
한편, 장벽 금속층을 증착한 후 인시투로 구리 시드층을 증착하는 경우는 구리 (111) 방향성이 잘 발달하지만, 장벽 금속층을 증착한 후 일단 공기에 노출되거나 또는 그 밖의 방식으로 표면 처리를 하는 경우에는 표면에 산화물과 같은 비정질층이 형성되므로 후속 공정에서 구리 (111) 방향성은 매우 약하게 된다. 구리 (111) 방향성이 좋으면 구리 배선의 EM 특성이 향상되는 것으로 알려져 있다.
장벽 금속층을 증착한 후 대기중에 노출시키거나 기체 분위기에서 열처리를 실시하면 장벽 금속층의 매우 미세한 결함들이 O, H, C, N 등의 미세한 원자들로 채워지기 때문에 구리 원자 또는 구리 이온들의 절연막으로의 확산을 막을 수 있게 된다. 그러나, 장벽 금속층의 표면 처리로 인해 표면에 형성된 비정질막은 구리 (111) 방향성의 발달을 저해하므로 EM 특성이 매우 취약하게 된다. 따라서, 표면 처리된 장벽 금속층 위에 다시 장벽 금속층을 증착한 후 인시투로 구리를 증착한다면 구리 (111) 방향성이 발달하게 된다. 특히, 구리 배선의 선폭이 매우 좁은 경우에는 배선 내부의 구리가 랜덤 구조를 갖게 되는데, 이는 후속 열처리 공정을 통하여 해결할 수 있다. 즉, 배선 내부는 구리 랜덤 구조를 갖지만 패턴 이외의 영역은 구리 (111) 방향성을 갖기 때문에 열처리할 경우 배선 내부가 구리 (111) 방향성을 갖도록 재결정된다.
도 1(a) 내지 도 1(d)는 본 발명에 따른 반도체 소자의 구리 배선 형성 방법을 설명하기 위해 순서적으로 도시한 소자의 단면도.
도 2는 장벽 금속층의 대기중 노출 여부에 따른 구리 (111) 방향성을 나타낸 XRD 피크 프로파일.
도 3(a) 및 도 3(b)는 0.5㎛ 폭의 트랜치 선에 TaNx를 증착한 후 구리를 인시투로 증착하고 열처리한 경우의 평면 및 단면 SEM 사진.
도 4, 도 5 및 도 6은 장벽 금속층을 형성한 후의 대기 노출 여부 및 2차 장벽 금속층 두께에 따른 소자의 특성을 나타낸 광학 현미경 사진.
<도면의 주요 부분에 대한 부호의 설명>
11 : 반도체 기판 12 : 절연막
13A : 1차 장벽 금속층 13B : 비정질층
13C : 2차 장벽 금속층 14 : 구리 배선
본 발명에 따른 반도체 소자의 구리 배선 형성 방법은 소정의 구조가 형성된 반도체 기판 상부에 절연막을 형성한 후 상기 절연막을 패터닝하여 상기 반도체 기판의 소정 영역을 노출시키는 단계와, 전체 구조 상부에 1차 장벽 금속층을 형성한 후 대기중에 노출시키는 단계와, 전체 구조 상부에 2차 장벽 금속층을 형성한 후 구리층을 형성하는 단계와, 상기 구리층 및 상기 장벽 금속층을 연마하여 구리 배선을 형성하는 단계를 포함하여 이루어진 것을 특징으로 한다.
이하, 첨부된 도면을 참조하여 본 발명을 상세히 설명하기로 한다.
도 1(a) 내지 도 1(d)는 본 발명에 따른 반도체 소자의 구리 배선 형성 방법을 설명하기 위해 순서적으로 도시한 소자의 단면도이다.
도 1(a)를 참조하면, 소정의 구조가 형성된 반도체 기판(11) 상부에 절연막(12)을 형성한다. 싱글 또는 듀얼 다마신(damascene) 공정을 실시하여 절연막(12)을 패터닝한다. 절연막 패턴을 포함한 전체 구조 상부에 1차 장벽금속층(13A)을 형성한다. 이때 사용되는 장벽 금속층은 CVD 또는 PVD 방식으로 증착된 Ta막, TaN막, WN막, TiN막, TiW막, TiSiN막, WBN막 및 WC막중 어느 하나를 20∼500Å의 두께로 형성한다.
도 1(b)를 참조하면, 1차 장벽 금속층(13A)을 대기중에 노출시키거나 기체 분위기에서 열처리하여 1차 장벽 금속층(13A) 표면에 비정질층(13B)을 형성한다. 이때, 대기중에 노출시키기 위해서는 0℃ 내지 100℃의 온도, 바람직하게는 15℃ 내지 30℃의 온도와 10 내지 80%의 습도를 유지하는 대기중에 1초 내지 10시간, 바람직하게는 30분 내지 100분 동안 1차 장벽 금속층을 대기(N2, O2, Ar, CO2등)중에 노출시켜 1차 장벽 금속층(13A)에 존재하는 결함을 O, H, C, N등의 원자들로 채움으로써 장벽 능력을 향상시킨다. 또한, 열처리는 150℃ 내지 450℃의 온도, 바람직하게는 250℃ 내지 400℃의 온도에서 1분 내지 10시간, 바람직하게는 15분 내지 60분 동안 반응로 열처리를 실시하거나, 250℃ 내지 500℃의 온도에서 1초 내지 20분, 바람직하게는 20초 내지 300초동안 급속 열처리를 실시하여 1차 장벽 금속층(13A)에 존재하는 결함을 O, H, N 등의 원자들로 채움으로써 장벽 능력을 향상시킨다. 이러한 열처리는 N2, Ar 및 H2중 어느 하나의 분위기에서 실시하거나 N2와 H2의 혼합 기체, Ar과 H2의 혼합 기체, Ar과 N2의 혼합 기체중 어느 하나의 혼합 기체 분위기에서 실시한다. 한편, 상기 열처리는 1차 장벽 금속층(13A)을 형성한 후 동일 챔버내에 Ar, O2, N2, NH3중 어느 하나 또는 그들의 혼합 기체를 유입시켜 실시하는데, 이때의 챔버 내부의 압력은 1 mTorr 내지 10Torr가 되도록 유지한다.
도 1(c)는 전체 구조 상부에 2차 장벽 금속층(13C)을 20∼500Å의 두께로 형성한 상태의 단면도이다.
도 1(d)를 참조하면, 진공 파괴 없이 PVD 방식 또는 CVD 방식으로 50∼1500Å 두께의 구리 시드층(도시안됨)을 증착한다. 무전해 도금 방식, 전해 도금 방식, PVD 또는 CVD 방식으로 다마신 패턴이 매립되도록 구리층을 형성한다. 구리층을 형성한 후 N2, Ar, H2중 어느 하나의 분위기 또는 N2와 H2의 혼합 기체, Ar과 H2의 혼합 기체, Ar과 N2의 혼합 기체중 어느 하나의 혼합 기체 분위기에서 24시간 이내의 열처리를 수행하는데, 바람직하게는 200∼500℃의 온도에서 10초∼30분동안 실시한다. 그리고, 연마 공정을 실시하여 구리 배선(14)을 형성한다.
도 2는 장벽 금속층의 대기중 노출 여부에 따른 구리 (111) 방향성을 나타낸 XRD 피크 프로파일이다. 여기서, A는 TaNx를 300Å의 두께로 증착한 후 구리를 1500Å의 두께로 증착한 경우이 방향성을 나타내고, B는 TaNx를 100Å의 두께로 증착하고 대기중에 노출시킨 후 다시 TaNx를 150Å의 두께로 증착하고 구리를 1500Å의 두께로 증착한 경우의 방향성을 나타낸다. 한편, C는 TaNx를 300Å의 두께로 증착하고 대기중에 노출시킨 후 구리를 1500Å의 두께로 증착한 경우의 방향성을 나타낸다. A, B 및 C를 비교하면 알 수 있듯이 구리를 증착하기 전에 공기에 노출시킨 경우는 구리 (111) 피크의 세기가 매우 약하다. 그러나 장벽 특성을 향상시키기 위해 TaNx을 증착한 후 대기중에 노출시키고 다시 TaNx를 증착한 후 인시투로 구리를 증착한 경우는 매우 강한 구리 (111) 방향성을 갖는다.
도 3(a) 및 도 3(b)는 0.5㎛ 폭의 트랜치 선에 TaNx를 300Å의 두께로 증착한 후 구리를 인시투로 증착하고 400℃의 온도로 열처리한 경우의 평면 및 단면 SEM 사진이다. 이때, 기저부의 실리콘과 구리가 반응하여 Cu3Si가 형성되어 부피 팽창으로 막이 들뜨는 현상이 발생된다.
도 4(a) 및 도 4(b)는 0.7㎛ 및 0.8㎛의 선폭에서 TaNx를 300Å의 두께로 증착한 후 구리를 증착한 경우의 광학 현미경 사진이다. 또한, 도 5(a) 및 도 5(b)는 0.25㎛ 및 0.3㎛의 선폭에서 TaNx를 100Å의 두께로 증착한 후 대기중에 노출시키고, 다시 TaNx를 100Å의 두께로 증착한 후 구리를 증착한 경우의 광학 현미경 사진이다. 한편, 도 5(a) 및 도 5(b)는 0.25㎛ 및 0.3㎛의 선폭에서 TaNx를 100Å의 두께로 증착한 후 대기중에 노출시키고, 다시 TaNx를 150Å의 두께로 증착한 후 구리를 증착한 경우의 광학 현미경 사진이다. 상기 도 4, 도 5 및 도 6 각각의 경우는 450℃의 온도로 30분간 열처리를 했을 때를 나타낸다. 이들을 비교하면, 장벽 금속층을 형성한 후 대기중에 노출시키지 않고 구리를 증착할 경우 장벽 파괴 현상을 보여 하부의 실리콘과의 반응에 의해 Cu3Si가 형성된다. 그러나, 장벽 금속층을 1차로 증착한 후 대기중에 노출시키고 장벽 금속층을 2차로 증착한 후 구리를 증착할 경우 2차 장벽 금속층의 두께에 따라 안정한 장벽 특성을 나타낸다.
상술한 바와 같이 본 발명에 의하면 1차 장벽 금속층을 증착한 후 대기중에 노출시키거나 기체 분위기에서 열처리를 실시하여 장벽 특성을 향상시키고, 2차 장벽 금속층을 증착한 후 구리를 증착하여 구리 (111) 방향성을 발달시킴으로써 소자의 신뢰성을 향상시킬 수 있다.

Claims (16)

  1. 소정의 구조가 형성된 반도체 기판 상부에 절연막을 형성한 후 상기 절연막을 패터닝하여 상기 반도체 기판의 소정 영역을 노출시키는 단계와,
    전체 구조 상부에 1차 장벽 금속층을 형성하는 단계와,
    상기 1차 장벽 금속층의 결함을 치유하여 장벽 능력을 향상하기 위해 상기 1차 장벽 금속층을 대기중에 노출시키거나 또는 열처리하여 상기 1차 장벽 금속층 표면에 비정질층을 형성하는 단계와,
    상기 비정질층 상에 구리층의 방향성 향상을 위해 2차 장벽 금속층을 형성한 다음 구리층을 형성하는 단계와,
    상기 구리층, 상기 2차 장벽 금속층, 상기 비정질층 및 상기 1차 장벽 금속층을 연마하여 구리 배선을 형성하는 단계를 포함하여 이루어진 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  2. 제 1 항에 있어서, 상기 절연막은 싱글 또는 듀얼 다마신 공정에 의해 패터닝하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  3. 제 1 항에 있어서, 상기 1차 장벽 금속층 및 2차 장벽 금속층은 CVD 방식 또는 PVD 방식에 의해 증착된 Ta막, TaN막, TaC막, WN막, TiN막, TiW막, TiSiN막, WBN막, WC막중 어느 하나인 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  4. 제 1 항에 있어서, 상기 대기중에 노출시키는 시간은 30분 내지 100분이며, 이때의 대기의 온도는 15 내지 30℃이고, 습도는 10 내지 80%인 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  5. 삭제
  6. 제 1 항에 있어서, 상기 열처리는 250 내지 400℃의 온도에서 15분 내지 60분 동안 실시하는 반응로 열처리인 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  7. 제 1 항에 있어서, 상기 열처리는 250 내지 500℃에서 20초 내지 300초동안 실시하는 급속 열처리인 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  8. 제 6 항 또는 제 7 항에 있어서, 상기 열처리는 N2, Ar 및 H2의 단일 기체, N2와 H2의 혼합 기체, Ar와 H2의 혼합 기체, Ar와 N2의 혼합 기체중 어느 하나의 분위기에서 실시하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  9. 제 1 항에 있어서, 상기 열처리는 1차 장벽 금속층을 형성한 후 동일 챔버에서 Ar, O2, N2, NH3의 단일 기체 또는 그들의 혼합 기체중 어느 하나를 유입시켜 실시하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  10. 제 9 항에 있어서, 상기 챔버는 1mTorr 내지 10Torr의 압력을 유지하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  11. 제 1 항에 있어서, 상기 1차 및 2차 장벽 금속층은 각각 20 내지 500Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  12. 제 1 항에 있어서, 상기 구리층을 형성하기 전 상기 2차 장벽 금속층 상부에구리 시드층을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  13. 제 12 항에 있어서, 상기 구리 시드층은 진공 파괴없이 PVD 방식 또는 CVD 방식으로 50 내지 1500Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  14. 제 1 항에 있어서, 상기 구리층은 무전해 도금 방식, 전해 도금 방식, PVD 또는 CVD 방식중 어느 하나의 방식으로 형성하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  15. 제 1 항에 있어서, 상기 구리층을 형성한 후 N2, Ar 및 H2의 단일 기체, N2와 H2의 혼합 기체, Ar와 H2의 혼합 기체, Ar와 N2의 혼합 기체중 어느 하나의 분위기에서 열처리하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
  16. 제 15 항에 있어서, 상기 열처리는 200 내지 500℃의 온도에서 10초 내지 30분동안 실시하는 것을 특징으로 하는 반도체 소자의 구리 배선 형성 방법.
KR10-2000-0084726A 2000-12-28 2000-12-28 반도체 소자의 구리 배선 형성 방법 KR100398034B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2000-0084726A KR100398034B1 (ko) 2000-12-28 2000-12-28 반도체 소자의 구리 배선 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2000-0084726A KR100398034B1 (ko) 2000-12-28 2000-12-28 반도체 소자의 구리 배선 형성 방법

Publications (2)

Publication Number Publication Date
KR20020055302A KR20020055302A (ko) 2002-07-08
KR100398034B1 true KR100398034B1 (ko) 2003-09-19

Family

ID=27688055

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0084726A KR100398034B1 (ko) 2000-12-28 2000-12-28 반도체 소자의 구리 배선 형성 방법

Country Status (1)

Country Link
KR (1) KR100398034B1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100919378B1 (ko) * 2002-10-28 2009-09-25 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 및 이의 형성 방법
KR100807066B1 (ko) * 2006-08-31 2008-02-25 동부일렉트로닉스 주식회사 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960030372A (ko) * 1995-01-05 1996-08-17 김주용 반도체 소자의 금속배선 형성방법
KR980005370A (ko) * 1996-06-27 1998-03-30 김주용 반도체 소자의 금속 배선 형성방법
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6040240A (en) * 1998-04-30 2000-03-21 Nec Corporation Method for forming interconnection structure
US6096648A (en) * 1999-01-26 2000-08-01 Amd Copper/low dielectric interconnect formation with reduced electromigration
JP2000331957A (ja) * 1999-05-17 2000-11-30 Anelva Corp Cu配線膜形成方法
KR20010009815A (ko) * 1999-07-14 2001-02-05 윤종용 반도체소자의 콘택 배선층 형성방법

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960030372A (ko) * 1995-01-05 1996-08-17 김주용 반도체 소자의 금속배선 형성방법
KR980005370A (ko) * 1996-06-27 1998-03-30 김주용 반도체 소자의 금속 배선 형성방법
US6040240A (en) * 1998-04-30 2000-03-21 Nec Corporation Method for forming interconnection structure
US6015749A (en) * 1998-05-04 2000-01-18 Taiwan Semiconductor Manufacturing Company Method to improve adhesion between copper and titanium nitride, for copper interconnect structures, via the use of an ion implantation procedure
US6096648A (en) * 1999-01-26 2000-08-01 Amd Copper/low dielectric interconnect formation with reduced electromigration
JP2000331957A (ja) * 1999-05-17 2000-11-30 Anelva Corp Cu配線膜形成方法
KR20010009815A (ko) * 1999-07-14 2001-02-05 윤종용 반도체소자의 콘택 배선층 형성방법

Also Published As

Publication number Publication date
KR20020055302A (ko) 2002-07-08

Similar Documents

Publication Publication Date Title
KR100856023B1 (ko) 확산 방지막을 포함하는 구리 배선 구조 및 방법
US5637533A (en) Method for fabricating a diffusion barrier metal layer in a semiconductor device
US6962873B1 (en) Nitridation of electrolessly deposited cobalt
US6589887B1 (en) Forming metal-derived layers by simultaneous deposition and evaporation of metal
TWI302016B (en) Method for fabricating low resistivity barrier for copper interconnect
US6632738B2 (en) Method of manufacturing semiconductor device
KR100672731B1 (ko) 반도체 소자의 금속배선 형성방법
KR100398034B1 (ko) 반도체 소자의 구리 배선 형성 방법
US20020187624A1 (en) Method for forming metal line of semiconductor device
US6423637B2 (en) Method of manufacturing copper wiring in a semiconductor device
JP2008263097A (ja) 半導体装置及び半導体装置の製造方法
KR100685902B1 (ko) 반도체 소자의 금속 배선 및 그 제조 방법
KR100732747B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR100576506B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR100673178B1 (ko) 반도체 소자의 구리 배선 형성 방법
KR100190082B1 (ko) 콜리메이터를 이용한 반도체장치의 콘택 형성방법
TWI323497B (en) Method of fabricating a dual-damascene copper structure
JP3149912B2 (ja) 半導体装置およびその製造方法
KR100451493B1 (ko) 반도체소자의금속배선형성방법
KR100919378B1 (ko) 반도체 소자의 금속 배선 및 이의 형성 방법
KR20070046376A (ko) 반도체 소자의 구리 금속배선 형성방법
KR100376259B1 (ko) 반도체 소자의 구리 배선 형성 방법
JP2006179645A (ja) 半導体装置及びその製造方法
KR100252843B1 (ko) 반도체 소자의 확산방지막 및 그 형성방법
JP3221397B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100825

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee