KR100807066B1 - 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법 - Google Patents

반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법 Download PDF

Info

Publication number
KR100807066B1
KR100807066B1 KR1020060083332A KR20060083332A KR100807066B1 KR 100807066 B1 KR100807066 B1 KR 100807066B1 KR 1020060083332 A KR1020060083332 A KR 1020060083332A KR 20060083332 A KR20060083332 A KR 20060083332A KR 100807066 B1 KR100807066 B1 KR 100807066B1
Authority
KR
South Korea
Prior art keywords
chamber
substrate
process chamber
copper
layer
Prior art date
Application number
KR1020060083332A
Other languages
English (en)
Inventor
이한춘
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020060083332A priority Critical patent/KR100807066B1/ko
Priority to JP2007215619A priority patent/JP2008060568A/ja
Priority to US11/895,324 priority patent/US7674700B2/en
Priority to DE102007041078A priority patent/DE102007041078A1/de
Priority to CNA2007101483521A priority patent/CN101136316A/zh
Application granted granted Critical
Publication of KR100807066B1 publication Critical patent/KR100807066B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법이 개시되어 있다. 반도체 소자 제조 장치는 기판을 이송하는 트랜스퍼 챔버, 트랜스퍼 챔버에 연결되며 기판상에 규화 질화 티타늄층을 형성하기 위한 제1 공정 챔버, 트랜스퍼 챔버에 연결되며 규화 질화 티타늄층 상에 탄탈륨층을 형성하기 위한 제2 공정 챔버 및 트랜스퍼 챔버에 연결되며, 탄탈륨층 상에 구리 시드층을 형성하기 위한 제3 공정 챔버를 포함한다. 이로써, 기판의 절연막에 형성된 트랜치의 내벽에 구리 이온의 확산을 방지하는 질화 규화 티타늄층을 형성한 후, 질화 규화 티타늄층 중 하부 금속 배선과 접촉하는 부분을 식각한 후, 노출된 하부 금속 배선과 접촉하도록 질화 규화 티타늄층 상에 탄탈륨층을 형성하고, 탄탈륨층 상에 구리 시드층을 형성 및 구리 시드층을 매개로 구리배선을 형성함으로써 효율적으로 구리 배선을 형성할 수 있다.

Description

반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법{APPARATUS FOR MANUFACTURING A SEMICONDUCTOR DEVICE AND METHOD OF MANUFACTRUING A SEMICONDUCTOR DEVICE USING THE APPARATUS}
도 1은 본 발명의 일실시예에 의한 반도체 소자 제조 장치를 도시한 평면도이다.
도 2는 도 1에 도시된 제1 공정 챔버의 구성을 개념적으로 도시한 평면도이다.
도 3은 도 1에 도시된 반도체 소자 제조 장치의 제2 공정 챔버를 개념적으로 도시한 평면도이다.
도 4는 도 3에 도시된 제2 공정 챔버의 내부 구조를 도시한 단면도이다.
도 5는 도 1에 도시된 반도체 소자 제조 장치의 제3 공정 챔버의 하나의 실시예를 도시한 단면도이다.
도 6은 도 5에 도시된 제3 공정 챔버의 내부 구조를 도시한 단면도이다.
도 7은 도 1에 도시된 반도체 소자 제조 장치의 제3 공정 챔버의 다른 실시예를 도시한 단면도이다.
도 8은 도 7에 도시된 제3 공정 챔버의 내부 구조를 도시한 단면도이다.
도 9는 본 발명의 다른 실시예에 의한 반도체 소자 제조 장치를 도시한 평면 도이다.
도 10 내지 도 18은 본 발명의 일실시예에 의한 반도체 소자의 제조 방법을 도시한 순서도 및 단면도들이다.
본 발명은 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법에 관한 것이다.
최근 들어, 반도체 소자(semiconductor device)의 고속화, 고집적화가 급속히 진행되고 있고, 이로 인해 트랜지스터(transistor)의 치수(dimension)는 보다 작아지고 있다. 트랜지스터의 집적도(integration degree)가 증가됨에 따라 반도체 소자의 배선의 치수는 미세화되고 있으며, 이 결과 배선에 인가된 신호가 지연되거나 왜곡되어 반도체 소자의 고속 동작이 방해받고 있다.
이와 같은 이유로 최근 반도체 소자의 배선 재료로 널리 이용해 왔던 알루미늄 또는 알루미늄 합금보다 저항이 작고, 높은 전기 이동성(Electro-migration)을 갖는 재료인 구리(copper)를 이용한 구리 배선에 대한 개발이 급속히 진행되고 있다.
일반적으로 구리 배선을 형성하기 위해서는 구리막을 형성 및 구리막을 식각하는 공정을 포함한다. 그러나, 구리막은 식각 균일성이 낮고, 구리막 식각 중 구리 배선의 표면이 급속히 산화되는 문제점을 갖는다.
이를 극복하기 위해서, 최근 구리 배선을 형성하기 위한 "다마신 공정((Damascene process)"이 개발된 바 있다.
다마신 공정은 절연막(insulation layer)에 비아홀(via hole) 및/또는 트랜치(trench)를 형성하고, 트랜치 및 비아홀 내에 구리막(copper layer)을 증착한 후 화학기계적 연마(CMP) 공정으로 구리막을 평탄화하여 트랜치 및 콘택홀 내부에 구리 배선을 형성한다. 즉, 다마신 공정은 구리막의 식각 없이 구리 배선을 형성하기 때문에 구리막을 식각하는 도중 발생되는 구리 배선의 산화 문제를 해결할 수 있다.
상술된 다마신 공정은 금속배선 외에 반도체 소자의 비트 라인(bit line) 또는 워드 라인(word line) 형성에도 역시 이용될 수 있다. 특히 다마신 공정은 다층 금속배선에서 상층 금속배선과 하층 금속배선을 접속시키기 위한 콘택홀(또는 비아홀)을 동시에 형성할 수 있을 뿐만 아니라, 금속 배선에 의해 발생하는 단차(step coverrage)를 제거할 수 있다.
그러나, 이와 같은 장점을 갖는 구리 배선은 구리 배선에 포함된 구리 이온이 확산 되어 구리 배선의 특성이 저하되고 인접한 구리 배선과 쇼트를 발생시키는 문제점을 갖는다.
이를 방지하기 위하여, 일반적으로 트랜치 및/또는 비아홀의 내벽에 구리 이온의 확산을 방지하기 위한 확산 방지막(diffusion-preventing layer)이 형성된다. 확산 방지막은 주로 TaN/Ta 이중막 구조를 갖고, 스퍼터링 공정(sputtering process)와 같은 물리적 기상 증착 장치(Physical Vapor Deposition apparatus)에 의하여 수행된다. 그러나, 물리적 기상 증착 장치에 의하여 TaN/Ta 이중막을 형성할 경우, 스텝 커버리지가 나쁘고 비아(via)의 접촉 저항을 감소시키기 때문에 최근에는 원자층 증착 공정(Atomic Layer Deposition process, ALD)에 의하여 TaN막 또는 Ta막을 형성하는 연구가 진행되고 있으나, ALD 공정에 의하여 TaN막 또는 Ta막을 형성할 경우 공정이 복잡하고 생산성이 크게 감소 되는 문제점을 갖는다.
또한, 확산 방지막으로 사용되는 TaN막 또는 Ta막은 반도체 소자의 전기 이동성(electromigration)에 대한 저항성을 증가시키는 문제점도 갖는다.
본 발명의 하나의 목적은 구리 이온의 확산 없는 구리 배선 구조물을 형성할 수 있는 반도체 소자 제조 장치를 제공함에 있다.
본 발명의 다른 목적은 상술한 반도체 소자 제조 장치를 이용한 반도체 소자의 제조 방법을 제공함에 있다.
이와 같은 본 발명의 하나의 목적을 구현하기 위한 반도체 소자 제조 장치는 기판을 이송하는 트랜스퍼 챔버, 트랜스퍼 챔버에 연결되며 기판상에 규화 질화 티타늄층을 형성하기 위한 제1 공정 챔버, 트랜스퍼 챔버에 연결되며 규화 질화 티타늄층 상에 탄탈륨층을 형성하기 위한 제2 공정 챔버 및 트랜스퍼 챔버에 연결되며, 탄탈륨층 상에 구리 시드층을 형성하기 위한 제3 공정 챔버를 포함한다.
본 발명의 다른 목적을 구현하기 위한 반도체 소자의 제조 방법은 기판에 형성된 하부배선을 노출하는 트랜치를 갖는 절연막에 구리 배선을 형성하는 방법에 있어서, 기판을 전처리 챔버에서 전처리하는 단계, 전처리 챔버로부터 제1 공정 챔버로 이송된 기판에 형성된 트랜치의 내벽에 규화 질화 티타늄층을 증착하는 단계, 제1 공정 챔버로부터 제2 공정 챔버로 이송된 기판에 형성된 트랜치의 바닥면을 덮는 규화 질화 티타늄층을 선택적으로 제거하여 하부전극을 노출하는 단계, 제2 공정 챔버 내에서 트랜치의 내벽에 형성된 규화 질화 티타늄층 및 노출된 하부 전극 상에 탄탈륨층을 형성하는 단계, 제2 공정 챔버로부터 제3 공정 챔버로 이송된 기판에 형성된 트랜치 내에 형성된 탄탈륨층 상에 구리 시드층을 형성하는 단계 및 구리 시드층을 매개로 트랜치 내부에 구리 배선을 형성하는 단계를 포함한다.
이하, 첨부된 도면들을 참조하여 본 발명의 실시예들에 따른 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조 방법에 대하여 상세하게 설명하지만, 본 발명이 하기의 실시예들에 제한되는 것은 아니며, 해당 분야에서 통상의 지식을 가진 자라면 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 본 발명을 다양한 다른 형태로 구현할 수 있을 것이다.
반도체 소자 제조 장치( Apparatus for Manufacturing Semiconductor Device )
도 1은 본 발명의 일실시예에 의한 반도체 소자 제조 장치를 도시한 평면도이다.
도 1을 참조하면, 반도체 소자 제조 장치(500)는 트랜스퍼 챔버(transfer chamber;100), 제1 공정 챔버(first process chamber;200), 제2 공정 챔버(second process chamber;300) 및 제3 공정 챔버(fourth process chamber;400)를 포함한다.
트랜스퍼 챔버(100)는 챔버 몸체(chamber body;110) 및 로봇 암 유닛(robot arm unit;120)을 포함한다. 로봇 암 유닛(120)은 챔버 몸체(110) 내에 배치되며, 작업 대상물(work piece)인 기판(substrate)을 제1 공정 챔버(200) 내지 제3 공정 챔버(400)로 이송한다.
본 실시예에서, 기판은, 예를 들어, 실리콘 웨이퍼(silicon wafer)이고, 실리콘 웨이퍼에는 선행 공정에서 형성된 하부 금속 전극(lower metal electrode) 및 하부 금속 전극을 노출하는 비아 홀(via hole) 및/또는 트랜치(trench)가 형성된 절연막(insulation layer)를 포함한다. 본 실시예에서, 절연막은, 예를 들어, 제1 및 제2 층간 절연막(미도시)들을 포함하고, 절연막에는 비아 홀 및 트랜치가 선행공정을 통해 형성되어 있다.
제1 공정 챔버(200)는 트랜스퍼 챔버(200)의 챔버 몸체(110)와 연결된다. 제1 공정 챔버(200)는 기판에 형성된 비아 홀 및 트랜치의 내벽에 규화 질화 티타늄층(TiSiN layer)을 형성한다.
본 실시예에서, 규화 질화 티타늄층은 복잡한 원자층 증착 공정(Atomic Layer deposition process) 없이 비아 홀 및 트랜치에 형성되는 후술될 구리 배선에 포함된 구리 이온의 확산을 방지할 수 있다.
도 2는 도 1에 도시된 제1 공정 챔버의 구성을 개념적으로 도시한 평면도이다.
도 2를 참조하면, 기판의 절연막에 형성된 비아 홀 및 트랜치의 규화 질화 티타늄층을 형성하기 위한 제1 공정 챔버(100)는 금속 유기 화합물을 기판상에 제 공하여 박막을 증착하는 MOCVD(Metal Organic Chemical Vapor Deposition) 공정을 수행하는 MOCVD 장치이다.
제1 공정 챔버(100)는 제1 챔버 몸체(first chamber body;210), 금속유기화합물 공급 장치(metal-organic compound providing device;220), 플라즈마 발생 장치(plasma generating device;230), 실리콘 화합물 공급 장치(silicon compound providing deivce;250)을 포함한다. 선택적으로, 제1 공정 챔버(100)는 가열장치(heator;260)를 더 포함할 수 있다.
제1 챔버 몸체(210)는 트랜스퍼 챔버(100)와 연결되며, 로봇 암 유닛(120)은 트랜스퍼 챔버(100)로 제공된 기판을 제1 챔버 몸체(210)로 전달한다.
금속유기화합물 공급 장치(220)는 금속유기화합물을 제1 챔버 몸체(210)로 제공한다.
본 실시예에서, 규화 질화 티타늄층을 형성하기 위해 제1 챔버 몸체(210)로 제공되는 금속유기화합물의 예로서는 TDMAT(TrakisDiMethylAmidoTitanium, Ti[N(CH3)2]4, 상품명) 및 TDEAT(Tetrakis Diethylamino Titanium, Ti[N(C2H5)2]₄, 상품명) 등을 들 수 있다.
제1 챔버 몸체(210)의 내부에 유기금속화합물인 TDMAT 및 TDEAT를 제공함에 따라 기판의 절연막에 형성된 비아 홀 및 트랜치의 내벽에는 규화 질화 티타늄층을 형성하기 위한 TiCNH막이 형성된다.
한편, 금속유기화합물 공급 장치(220)에서 제공된 금속유기화합물을 보다 효율적으로 기판의 절연막에 형성된 비아 홀 및 트랜치의 내벽에 증착하기 위해서 기 판은 가열 장치(260)에 의하여 가열된다. 이때, 기판의 가열 온도는 약 200℃ 내지 약 500℃일 수 있다.
플라즈마 발생 장치(230)는 제1 챔버 몸체(210)의 내부에서 플라즈마를 발생한다. 플라즈마 발생 장치(230)는 기판이 배치되는 애노드 전극(anode electrode, 미도시), 애노드 전극과 마주하는 캐소드 전극(cathode electrode) 및 제1 챔버 몸체(210) 내부로 플라즈마 소스 가스를 제공하는 플라즈마 가스 제공장치를 포함할 수 있다. 본 실시예에서, 플라즈마 소스 가스의 예로서는 소 및/또는 질소 등을 들 수 있다.
본 실시예에서, 플라즈마 발생 장치(230)는 기판의 절연막에 형성된 비아 홀 및 트랜치의 내벽에 형성된 TiCNH막에 플라즈마화된 소 및 질소를 제공하여 TiCNH막에 포함된 탄소 및 수소와 같은 불순물을 제거하여, 기판의 절연막에 형성된 비아 홀 및 트랜치의 내벽에는 TiN막이 형성된다.
실리콘 화합물 공급 장치(250)는 제1 챔버 몸체(210)의 내부로 실리콘 화합물을 제공한다. 본 실시예에서, 실리콘 화합물의 예로서는 실랜(SiH4) 가스를 들 수 있다.
제1 챔버 몸체(210)의 내부에 배치된 기판의 절연막에 형성된 비아 홀 및 트랜치의 내벽에 형성된 TiN막에 실리콘 화합물 공급 장치(250)로부터 제공된 실랜 가스를 공급할 경우, TiN막 및 실랜 가스의 반응에 의하여 비아 홀 및 트랜치의 내벽에 규화 질화 티타늄(TiSiN)막이 형성된다.
본 실시예에서, 상술된 제1 공정 챔버(200)는 생산성을 향상시키기 위해 트 랜스퍼 챔버(100)에 적어도 2 개가 배치될 수 있다.
도 3은 도 1에 도시된 반도체 소자 제조 장치의 제2 공정 챔버를 개념적으로 도시한 평면도이다.
도 3을 참조하면, 제2 공정 챔버(300)는 제1 공정 챔버(200)에서 형성된 기판의 절연막에 형성된 비아 홀 및 트랜치의 내부에 형성된 규화 질화 티타늄층의 상면에 탄탈륨(Ta)막을 형성 및/또는 규화 질화 티타늄층 중 트랜치의 바닥면에 대응하는 부분을 제거하는 역할을 한다.
제2 공정 챔버(300)는 제2 챔버 몸체(second chamber body;305), 이온화 장치(ion generator;310), 탄탈륨 타겟(tantalum target;320) 및 바이어스 인가 장치(bias supplying device;330)를 포함한다.
도 4는 도 3에 도시된 제2 공정 챔버의 내부 구조를 도시한 단면도이다.
도 3 및 도 4를 참조하면, 제2 공정 챔버(300)의 제2 챔버 몸체(305)는 트랜스퍼 챔버(100)와 연결된다. 트랜스퍼 챔버(100)의 로봇 암 유닛(110)은 기판을 제1 공정 챔버(300)로부터 제2 공정 챔버(300)의 제2 챔버 몸체(305)로 이송한다.
이온화 장치(310)는 제2 챔버 몸체(305)의 내부에 배치된다. 이온화 장치(310)는 애노드 전극(312), 캐소드 전극(314) 및 불활성 가스 공급 장치(316)를 포함한다.
애노드 전극(312)는 제2 챔버 몸체(305)의 상부에 배치된다. 캐소드 전극(314)은 애노드 전극(312)와 마주하며, 캐소드 전극(314)에는 규화 질화 티타늄층이 형성된 기판이 배치된다. 불활성 가스 공급 장치(316)은, 예를 들어, 애노드 전극(312) 및 캐소드 전극(314)의 사이로 불활성 가스를 제공한다. 본 실시예에서, 불활성 가스로 사용되는 가스는 아르곤 가스(argon gas)일 수 있다.
이에 더하여, 애노드 전극(312) 및 캐소드 전극(314)에는 플라즈마를 형성하기 위한 전원(power)을 제공하는 전원 인가 장치(power supplying device, 미도시)가 전기적으로 연결된다. 본 실시예에서, 전원 인가 장치에서 출력되는 전원은 교류 전원, 직류 전원 및 고주파 전원 중 어느 하나일 수 있다.
탄탈륨 타겟(320)은 애노드 전극(312)상에 배치된다. 탄탈륨 타겟(320)은 순수 탄탈륨으로 이루어지며, 예를 들어, 플레이트 형상을 가질 수 있다.
바이어스 인가 장치(330)는, 예를 들어, 전원 인가 장치에 전기적으로 연결되고, 애노드 전극(312) 및 캐소드 전극(314)에 인가되는 전원의 극성을 변경한다.
이하, 제2 공정 챔버(300)에서 탄탈륨층을 형성하는 공정을 개략적으로 설명하기로 한다.
먼저, 불활성 가스 공급 장치(316)는 제2 챔버 몸체(305)의 내부로 아르곤 가스를 제공한다. 이어서, 전원 인가 장치 및 바이어스 인가 장치(330)의 작용에 의하여 애노드 전극(312)에는 양극 전압이 제공되고, 캐소드 전극(314)에는 음극 전압이 제공된다.
애노드 전극(312) 및 캐소드 전극(314)에 인가된 전압에 의하여 아르곤 가스는 아르곤 원자, 중성자 및 전자로 해리되고, 아르곤 원자는 양극 전압이 제공된 애노드 전극(312)를 향해 빠른 속도로 이동된다. 기판에 형성된 트랜치의 내벽에 형성된 규화 질화 티타늄층 중 트랜치의 바닥면에 대응하는 규화 질화 티타늄층은 아르곤 원자에 의하여 이온 식각되고, 이 결과 트랜치의 바닥면에 대응하는 규화 질화 티타늄층은 트랜치로부터 제거된다. 이때, 규화 질화 티타늄층이 제거되면서 트랜치의 바닥에 형성된 하부 금속 전극의 일부도 함께 식각된다. 이때, 트랜치의 내벽 중 측벽에 형성된 규화 질화 티타늄층은 아르곤 원자의 진행 방향과 평행하기 때문에 거의 손상되지 않는다.
이와 같이 트랜치의 바닥면에 대응하는 규화 질화 티타늄층이 제거된 후, 바이어스 인가 장치(330)는 애노드 전극(312)에 음극 전압을 제공하고, 캐소드 전극(314)에는 양극 전압을 제공한다. 이 결과, 아르곤 원자는 애노드 전극(312)를 향해 빠른 속도로 이동된다. 애노드 전극(312)을 향해 이동된 아르곤 원자는 탄탈륨 타겟(320)과 충돌하고 이로 인해 탄탈륨 타겟(320)으로부터는 미세 탄탈륨이 떨어져 나오게 된다. 탄탈륨 타겟(320)으로부터 분리된 미세 탄탈륨은 캐소드 전극(314)의 상면에 배치된 기판에 형성된 규화 질화 티타늄층 및 노출된 하부 금속 전극상에 증착되어 탄탈륨층이 형성된다.
본 실시예에서 탄탈륨층을 형성하는데 적합한 제2 챔버 몸체(305)의 공정 온도는 -25℃ 내지 250℃일 수 있다.
본 실시예에서, 기판에 형성된 규화 질화 티타늄층 중 트랜치의 바닥면과 대응하는 부분의 규화 질화 티타늄층을 제거한 후 탄탈륨층을 형성하는 이유는 규화 질화 티타늄층의 전기 이동성이 탄탈륨층에 비하여 좋지 않기 때문이다.
즉, 규화 질화 티타늄층은 후술될 구리 배선의 구리 이온의 확산을 방지하기에 적합한 반면, 전기 이동성이 탄탈륨층에 비하여 좋지 않기 때문에 구리 이온의 확산은 규화 질화 티타늄층으로 억제하고, 하부 금속 전극과의 전기적 접촉은 탄탈륨층을 통해 수행한다.
도 5는 도 1에 도시된 반도체 소자 제조 장치의 제3 공정 챔버의 하나의 실시예를 도시한 단면도이다.
도 5를 참조하면, 제3 공정 챔버(400)에서는 규화 질화 티타늄층의 상면에 배치된 탄탈륨층의 표면에 구리 배선을 형성하기 이전 공정인 구리 시드층이 형성된다.
제3 공정 챔버(400)는 제3 챔버 몸체(third chamber body;405), 이온화 장치(ion generator;410), 구리 타겟(Copper target;420)을 포함한다.
도 6은 도 5에 도시된 제3 공정 챔버의 내부 구조를 도시한 단면도이다.
도 5 및 도 6을 참조하면, 제3 공정 챔버(400)의 제3 챔버 몸체(405)는 트랜스퍼 챔버(100)와 연결된다. 트랜스퍼 챔버(100)의 로봇 암 유닛(110)은 기판을 제2 공정 챔버(300)로부터 제3 공정 챔버(400)의 제3 챔버 몸체(405)로 이송한다.
이온화 장치(410)는 제3 챔버 몸체(405)의 내부에 배치된다. 이온화 장치(410)는 애노드 전극(412), 캐소드 전극(414) 및 불활성 가스 공급 장치(416)를 포함한다.
애노드 전극(412)는 제3 챔버 몸체(405)의 상부에 배치된다. 캐소드 전극(414)은 애노드 전극(412)와 마주하며, 캐소드 전극(414)에는 규화 질화 티타늄층 및 탄탈륨층이 형성된 기판이 배치된다. 불활성 가스 공급 장치(416)은, 예를 들어, 애노드 전극(412) 및 캐소드 전극(414)의 사이로 불활성 가스를 제공한다. 본 실시예에서, 불활성 가스로 사용되는 가스는 아르곤 가스(argon gas)일 수 있다.
이에 더하여, 애노드 전극(412) 및 캐소드 전극(414)에는 플라즈마를 형성하기 위한 전원(power)을 제공하는 전원 인가 장치(power supplying device,418)가 전기적으로 연결된다. 본 실시예에서, 전원 인가 장치(418)에서 출력되는 전원은 교류 전원, 직류 전원 및 고주파 전원 중 어느 하나일 수 있다.
구리 타겟(420)은 애노드 전극(412)상에 배치된다. 구리 타겟(420)은 순수 구리로 이루어지고, 구리 타겟(420)은, 예를 들어, 플레이트 형상을 갖는다.
도 6을 참조하면, 전원 인가 장치(418)에서 애노드 전극(412)에, 예를 들어, 음극 전압을 제공하고, 캐소드 전극(414)에 양극 전압을 제공할 경우, 아르곤 가스는 아르곤 원자, 중성자 및 전자로 해리되고, 아르곤 원자는 구리 타겟(420)을 향해 빠른 속도로 이동하여 구리 타겟(420)과 충돌한다. 이로써, 구리 타겟(420)으로부터는 미세한 구리 입자가 떨어져 나오고 구리 입자는 기판에 형성된 규화 질화 티타늄층 및 탄탈륨층 중 탄탈륨층의 상면에 얇은 두께로 증착되어 구리 시드층(copper seed layer)이 형성된다.
도 7은 도 1에 도시된 반도체 소자 제조 장치의 제3 공정 챔버의 다른 실시예를 도시한 단면도이다.
도 7을 참조하면, 제3 공정 챔버(400)에서는 규화 질화 티타늄층의 상면에 배치된 탄탈륨층의 표면에 구리 배선을 형성하기 이전 공정인 구리 시드층이 형성된다.
제3 공정 챔버(400)는 제3 챔버 몸체(third chamber body;405), 구리 화합물 제공 장치(430) 및 플라즈마 발생 장치(440)를 포함한다.
도 8은 도 7에 도시된 제3 공정 챔버의 내부 구조를 도시한 단면도이다.
도 7 및 도 8을 참조하면, 제3 공정 챔버(400)의 제3 챔버 몸체(405)는 트랜스퍼 챔버(100)와 연결된다. 트랜스퍼 챔버(100)의 로봇 암 유닛(110)은 기판을 제2 공정 챔버(300)로부터 제3 공정 챔버(400)의 제3 챔버 몸체(405)로 이송한다.
플라즈마 발생 장치(440)는 제3 챔버 몸체(405)의 내부에 배치된다. 플라즈마 발생 장치(440)는 애노드 전극(442), 캐소드 전극(444) 및 불활성 가스 및 구리 화합물을 제공하는 구리 화합물 공급 장치(430)을 포함한다.
애노드 전극(442)는 제3 챔버 몸체(405)의 하부에 배치된다. 캐소드 전극(444)은 애노드 전극(442)와 마주한다. 애노드 전극(442)상에는 규화 질화 티타늄층 및 탄탈륨층이 형성된 기판이 배치된다. 구리 화합물 공급 장치(430)은 애노드 전극(442) 및 캐소드 전극(444)의 사이로 불활성 가스 및 구리 화합물을 제공한다. 한편, 애노드 전극(442) 및 캐소드 전극(444)에는 플라즈마를 형성하기 위한 전원(power)을 제공하는 전원 인가 장치(power supplying device,446)가 전기적으로 연결된다. 본 실시예에서, 전원 인가 장치(446)에서 출력되는 전원은 교류 전원, 직류 전원 및 고주파 전원 중 어느 하나일 수 있다.
도 8을 참조하면, 전원 인가 장치(418)에서 애노드 전극(412)에, 예를 들어, 음극 전압을 제공하고, 캐소드 전극(414)에 양극 전압을 제공할 경우, 불활성 가스는 이온화 되고, 이온화된 불활성 가스 및 구리 화합물이 기상 반응하여 구리 입자 가 생성되고, 구리 입자는 기판에 형성된 규화 질화 티타늄층 및 탄탈륨층 중 탄탈륨층의 상면에 얇은 두께로 증착되어 구리 시드층(copper seed layer)이 형성된다.
도 9는 본 발명의 다른 실시예에 의한 반도체 소자 제조 장치를 도시한 평면도이다. 본 발명의 다른 실시예에 의한 반도체 소자 제조 장치는 클리닝 챔버, 잔류물 제거 챔버 및 건조 챔버를 제외하면 앞서 도 1 내지 도 8을 참조하여 설명한 반도체 소자 제조 장치와 실질적으로 동일하다. 따라서, 앞서 도 1 내지 도 8을 참조하여 설명한 반도체 소자 제조 장치와 동일한 구성 요소에 대해서는 동일한 참조부호 및 동일한 명칭을 부여하기로 한다.
도 9를 참조하면, 반도체 장치는 클리닝 챔버(710)를 더 포함한다. 클리닝 챔버(710)는 전처리 트랜스퍼 챔버(720)에 연결된다. 전처리 트랜스퍼 챔버(720)는 트랜스퍼 챔버(100)에 연결된다.
클리닝 챔버(710)는 기판의 절연막에 형성된 트랜치 및 비아 홀에 규화 질화 티타늄층, 탄탈륨층 및 구리 시드층을 형성하기 이전에 트랜치 및 비아 홀을 플라즈마에 의하여 건식 클리닝 하기 위해 불활성 가스를 제공하는 불활성 가스 제공장치(712) 및 플라즈마를 발생하는 플라즈마 발생 장치(714)를 포함한다.
이에 더하여 전처리 트랜스퍼 챔버(720)에는 잔류물 제거 챔버(730)가 연결될 수 있다. 잔류물 제거 챔버(730)는 기판의 절연막에 형성된 비아 홀 및 트랜치 내부에 잔류하는 잔류물 또는 잔류 산화막을 제거하는 역할을 한다.
이를 구현하기 위해, 잔류물 제거 챔버(730)는 수소 제공 장치(732) 및 플라즈마 발생 장치(734)를 포함한다. 수소 제공 장치(732)는 잔류물 제거 챔버(730) 내부로 수소를 제공하고, 플라즈마 발생 장치(734)는 제공된 수소를 소스 가스로 이용하여 잔류물 또는 잔류 산화막을 제거하기 위한 수소 플라즈마를 발생한다.
본 실시예에서는 클리닝 챔버(710)와 잔류물 제거 챔버(730)가 각각 전처리 트랜스퍼 챔버(720)에 연결되지만, 이와 다르게, 클리닝 챔버(710)를 이용하여 클리닝 챔버(710)에서 트랜치 및 비아홀을 클리닝 하거나 트랜치 및 비아홀 내부의 잔류물 또는 잔류 산화막을 제거할 수 있으며, 이를 구현하기 위해서 클리닝 챔버(710)에 수소 제공 장치를 연결하는 것이 바람직하다.
한편, 도 9를 다시 참조하면, 전처리 트랜스퍼 챔버(720)에는 건조 챔버(740)가 연결될 수 있다. 건조 챔버(740)는 기판의 절연막에 트랜치 및 비아홀을 습식 식각하여 형성할 때 발생된 수분을 제거하며, 이를 구현하기 위하여 건조 챔버(740)은 기판에 형성된 절연막을 건조하기 위한 기판 가열 장치(742)를 포함할 수 있다. 예를 들어, 기판 가열 장치(742)는 기판을 가열하기 위한 할로겐 램프일 수 있고, 건조 챔버(740)의 내부는 기판의 절연막을 건조하기 위해 약 200℃ 내지 500℃의 온도를 가질 수 있다. 본 실시예에서, 건조 챔버(740)는 전처리 트랜스퍼 챔버(720)에 적어도 2개가 연결될 수 있다.
반도체 소자의 제조 방법( Method of Manufacturing Semicondcuctor Device )
도 10 내지 도 18은 본 발명의 일실시예에 의한 반도체 소자의 제조 방법을 도시한 순서도 및 단면도들이다.
도 10을 참조하면, 반도체 소자를 제조하기 위하여 기판에는 선행 공정에 의 하여 트랜치를 갖는 절연막이 형성된다.
구체적으로, 기판(1)의 상면에는 하부 금속 배선(2)이 형성되고, 하부 금속 배선(2) 상에는 절연막(5)이 형성된다. 본 실시예에서, 절연막(5)은 제1 층간 절연막(3) 및 제2 층간 절연막(4)을 포함한다. 제1 층간 절연막(3)은, 예를 들어, FSG막이고, 제2 층간 절연막(4)은, 예를 들어, 산화막이다.
제1 및 제2 절연막(3,4)들이 형성된 후, 제1 및 제2 절연막(3,4)은 식각되어 제1 및 제2 층간 절연막(3,4)들에는 트랜치(6)가 형성된다. 본 실시예에서는 절연막(5)이 제1 및 제2 층간 절연막(3,4)들로 이루어지지만 이와 다르게 단층 절연막을 사용하여도 무방하다.
도 9 및 도 11을 참조하면, 반도체 소자를 제조하기 위해서, 단계 S10에서, 트랜치(6)가 형성된 절연막(5)이 형성된 기판(1)의 전처리 공정을 수행한다.
기판(1)의 전처리 공정은 기판(1)의 절연막(5) 건조하는 건조 공정, 기판(1)의 절연막(5)의 트랜치(6) 내부의 잔류물 및 잔류 산화막을 제거하는 잔류물 제거 공정 및 잔류물이 제거된 절연막(5)을 갖는 기판(1)을 클리닝하는 클리닝 공정으로 이루어진다.
먼저, 기판(1)의 건조 공정이 수행된다. 건조 공정을 수행하기 위해서, 트랜치(6)가 형성된 절연막(5)이 형성된 기판(1)은 건조 챔버(740)의 내부에서 건조된다. 이때, 건조 온도는 약 200℃ 내지 500℃의 온도일 수 있다.
이어서, 잔류물 제거 공정을 수행하기 위해, 전처리 트랜스퍼 챔버(720)의 로봇 암 유닛은 기판(1)을 건조 챔버(740)로부터 언로딩하여 잔류물 제거 챔 버(730)의 내부로 로딩한다. 잔류물 제거 챔버(730)에서는 수소 플라즈마를 이용하여 기판(1)의 절연막(5)에 형성된 트랜치(6) 내부의 잔류물 및 잔류 산화막을 제거한다.
이어서, 클리닝 공정을 수행하기 위하여, 잔류물 제거 챔버(730)에서 잔류물이 제거된 기판(1)은 다시 전처리 트랜스퍼 챔버(720)로부터 언로딩 된 후 클리닝 챔버(710)으로 로딩된다. 클리닝 챔버(710)에서 잔류물이 제거된 기판(1)의 절연막(5)은, 예를 들어, 아르곤 플라즈마에 의하여 드라이 클리닝되어 기판의 전처리 공정이 종료된다.
기판의 전처리 공정이 종료된 후, 드라이 클리닝 된 절연막(5)을 갖는 기판(1)은 다시 전처리 트랜스퍼 챔버(720)로부터 언로딩된 후, 트랜스퍼 챔버(100)의 로봇 암 유닛(110)으로 로딩된다.
도 10 및 도 11을 다시 참조하면, 단계 S20에서, 기판(1)의 절연막(5)에 형성된 트랜치(6)의 내벽에 규화 질화 티타늄층을 형성하는 공정이 수행된다.
도 2 및 도 12를 참조하면, 규화 질화 티타늄층을 형성하기 위해서, 전처리 트랜스퍼 챔버(720)로부터 트랜스퍼 챔버(100)로 로딩된 기판(1)은 다시 제1 공정 챔버(200)의 내부로 로딩된다.
이어서, 가열 장치(260)에 의하여 기판(1)을 지정된 온도로 가열한 후, 가열된 기판(1)에는 금속유기 화합물 공급장치(220)에 의하여 기판(1)으로 TDMAT 및 TDEAT과 같은 금속유기화합물이 제공되고, 이로 인해 트랜치(6)의 내벽에는 TiCNH막(12)이 형성된다.
트랜치(6)의 내벽에 TiCNH막(12)이 형성된 후, 플라즈마 발생 장치(230)에 의하여 수소 및 질소를 소스 가스로 하여 제1 공정 챔버(100) 내부에는 수소 및 질소 플라즈마가 발생되고, 이로 인해 트랜치(6)의 내벽에 형성된 TiCNH막(12)에 포함된 탄소 및 수소와 같은 불순물이 TiCNH막(12)으로부터 제거된다. 이로 인해, 트랜치(6)의 내벽에는 불순물이 제거된 TiN막(14)이 형성된다.
이어서, 제1 공정 챔버(200)으로는 실리콘 화합물 공급 장치(250)로부터 제공된 실리콘 화합물, 예를 들면, 실랜이 TiN막(14)으로 제공되고, 실랜과 TiN막(14)의 반응에 의해 트랜치(6)의 내벽에는 규화 질화 티타늄층(16)이 형성된다.
트랜치(6)의 내벽에 규화 질화 티타늄층(16)이 형성된 후, 제1 공정 챔버(200)에 배치된 기판(1)은 트랜스퍼 챔버(100)의 로봇 암 유닛(110)에 의하여 제2 공정 챔버(300)로 로딩된다.
도 4, 도 11 도 15를 다시 참조하면, 단계 S30에서, 제2 공정 챔버(300)의 내부에서 발생된 아르곤 원자에 의해 규화 질화 티타늄층(16) 중 트랜치(6)의 바닥면을 덮고 있는 부분을 제거되고, 이로 인해 하부 금속 전극(2)을 덮고 있던 규화 질화 티타늄층(16)의 일부는 제거된다.
이어서, 단계 S40에서, 제2 공정 챔버(300)의 내부에서 발생된 아르곤 원자는 탄탈륨 타겟(320)과 충돌하여 탄탈륨 타겟(320)으로부터는 미세 탄탈륨 입자가 분리되고, 이로 인해 규화 질화 티타늄층(16) 및 노출된 하부 금속 전극(2) 상에는 도 16에 도시된 바와 같이 탄탈륨층(18)이 형성된다.
이어서, 제2 공정 챔버(300)에 배치된 기판(1)은 다시 로봇 암 유닛(110)에 의하여 언로딩된 후 제3 공정 챔버(400)로 로딩된다.
도 6, 도 11 및 도 17을 참조하면, 단계 S50에서, 제3 공정 챔버(400)의 내부에 배치된 기판(1)의 트랜치(6)의 내부에 배치된 탄탈륨층(18)의 표면에는 구리 시드층(20)이 형성된다. 구리 시드층(20)은, 예를 들어, 구리 타겟을 이용한 스퍼터링 방법 또는 구리 화합물을 이용한 화학기상증착 방법에 의하여 형성될 수 있다.
도 11 및 도 18을 참조하면, 단계 S60에서, 제3 공정 챔버(400)로부터 언로딩된 기판(1)의 트랜치(6)에 형성된 구리 시드층(20)을 매개로 트랜치(6) 내부에는 구리 배선(22)이 형성되어 반도체 소자가 제조된다.
이상에서 상세하게 설명한 바에 의하면, 기판의 절연막에 형성된 트랜치의 내벽에 구리 이온의 확산을 방지하는 질화 규화 티타늄층을 형성한 후, 질화 규화 티타늄층 중 하부 금속 배선과 접촉하는 부분을 식각한 후, 노출된 하부 금속 배선과 접촉하도록 질화 규화 티타늄층 상에 탄탈륨층을 형성하고, 탄탈륨층 상에 구리 시드층을 형성 및 구리 시드층을 매개로 구리배선을 형성함으로써 효율적으로 구리 배선을 형성할 수 있다.
앞서 설명한 본 발명의 상세한 설명에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술분야의 숙련된 당업자 또는 해당 기술분야에 통상의 지식을 갖는 자라면 후술될 특허청구범위에 기재된 본 발명의 사상 및 기술 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이 해할 수 있을 것이다.

Claims (23)

  1. 기판을 이송하는 트랜스퍼 챔버;
    상기 트랜스퍼 챔버에 연결되며, 상기 기판상에 규화 질화 티타늄층을 형성하기 위한 제1 공정 챔버;
    상기 트랜스퍼 챔버에 연결되며, 상기 규화 질화 티타늄층 상에 탄탈륨층을 형성하기 위한 제2 공정 챔버; 및
    상기 트랜스퍼 챔버에 연결되며, 상기 탄탈륨층 상에 구리 시드층을 형성하기 위한 제3 공정 챔버를 포함하는 반도체 소자 제조 장치.
  2. 제1항에 있어서, 상기 제1 공정 챔버는
    제1 챔버 몸체;
    상기 제1 챔버 몸체 내부로 금속유기화합물을 제공하는 금속유기화합물 공급장치;
    상기 제1 챔버 몸체 내부에 플라즈마를 발생하기 위한 플라즈마 발생 장치;
    상기 제1 챔버 몸체 내부로 실리콘 화합물을 제공하는 실리콘 화합물 공급 장치를 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  3. 제2항에 있어서, 상기 금속유기화합물은 TDMAT(tetrakis-dimethyl-a mino-titanium) 및 TDEAT(tetrakis diethylamino titanium)인 것을 특징으로 하는 반도 체 소자 제조 장치.
  4. 제2항에 있어서, 상기 플라즈마를 발생하기 위한 소스 가스는 소 및 질소인 것을 특징으로 하는 반도체 소자 제조 장치.
  5. 제2항에 있어서, 상기 실리콘 화합물은 실랜(SiH4) 가스인 것을 특징으로 하는 반도체 소자 제조 장치.
  6. 제2항에 있어서, 상기 제1 챔버 몸체는 상기 기판을 200℃ 내지 500℃로 가열하는 가열 장치를 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  7. 제1항에 있어서, 상기 제2 공정 챔버는
    상기 제2 공정 챔버 내부에서 불활성 가스를 이온화시키는 이온화 장치;
    이온화된 상기 불활성 가스와 충돌하여 상기 기판에 탄탈륨을 증착하기 위한 탄탈륨 타겟; 및
    상기 이온화된 상기 불활성 가스를 상기 탄탈륨 타겟 및 상기 기판 중 어느 하나를 향해 가속시키기 위한 바이어스 인가 장치를 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  8. 제7항에 있어서, 상기 불활성 가스는 아르곤 가스인 것을 특징으로 하는 반 도체 소자 제조 장치.
  9. 제7항에 있어서, 상기 제2 공정 챔버의 공정 온도는 -25℃ 내지 250℃ 인 것을 특징으로 하는 반도체 소자 제조 장치.
  10. 제1항에 있어서, 상기 제3 공정 챔버는
    상기 제3 공정 챔버 내부에서 불활성 가스를 이온화시키는 이온화 장치;
    이온화된 상기 불활성 가스와 충돌하여 상기 기판에 구리 시드(seed)를 증착하기 위한 구리 타겟을 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  11. 제1항에 있어서, 상기 제3 공정 챔버는
    상기 제3 공정 챔버 내부로 구리 화합물을 제공하는 구리 화합물 제공 장치; 및
    상기 구리 화합물을 반응시키는 플라즈마 발생 장치를 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  12. 제1항에 있어서, 상기 제1 공정 챔버는 상기 트랜스퍼 챔버에 적어도 2개가 연결된 것을 특징으로 하는 반도체 소자 제조 장치.
  13. 제1항에 있어서, 상기 트랜스퍼 챔버에 연결되며, 상기 기판을 플라즈마에 의하여 클리닝 하기 위해 불활성 가스를 제공하는 불활성 가스 제공장치 및 플라즈마를 발생하는 플라즈마 발생 장치를 포함하는 클리닝 챔버를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  14. 제1항에 있어서, 상기 트랜스퍼 챔버에 연결되며, 상기 기판에 형성된 잔류물을 제거하기 위해 수소를 제공하는 수소 제공 장치 및 상기 수소를 이온화하기 위한 플라즈마 발생 장치를 포함하는 잔류물 제거 챔버를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  15. 제1항에 있어서, 상기 트랜스퍼 챔버에 연결되며, 상기 기판에 형성된 막을 건조하기 위한 기판 가열 장치를 포함하는 건조 챔버를 더 포함하는 것을 특징으로 하는 반도체 소자 제조 장치.
  16. 제15항에 있어서, 상기 기판 가열 장치는 상기 기판을 200℃ 내지 500℃로 가열하는 할로겐 램프인 것을 특징으로 하는 반도체 소자 제조 장치.
  17. 기판에 형성된 하부배선을 노출하는 트랜치를 갖는 절연막에 구리 배선을 형성하는 방법에 있어서,
    상기 기판을 전처리 챔버에서 전처리하는 단계;
    상기 전처리 챔버로부터 제1 공정 챔버로 이송된 상기 기판에 형성된 상기 트랜치의 내벽에 규화 질화 티타늄층을 증착하는 단계;
    상기 제1 공정 챔버로부터 제2 공정 챔버로 이송된 상기 기판에 형성된 상기 트랜치의 바닥면을 덮는 상기 규화 질화 티타늄층을 선택적으로 제거하여 상기 하부전극을 노출하는 단계;
    상기 제2 공정 챔버 내에서 상기 트랜치의 내벽에 형성된 상기 규화 질화 티타늄층 및 노출된 상기 하부 전극 상에 탄탈륨층을 형성하는 단계;
    상기 제2 공정 챔버로부터 제3 공정 챔버로 이송된 상기 기판에 형성된 상기 트랜치내에 형성된 상기 탄탈륨층 상에 구리 시드층을 형성하는 단계; 및
    상기 구리 시드층을 매개로 상기 트랜치 내부에 구리 배선을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  18. 제17항에 있어서, 상기 규화 질화 티타늄층을 형성하는 단계는
    상기 제1 공정 챔버 내에서, 상기 기판에 금속유기화합물인 TMDAT 및 TDEAT를 제공하여 제1 예비 규화 질화 티타늄층인 TiCNH 막을 상기 트랜치 내벽에 형성하는 단계;
    상기 제1 공정 챔버 내에서, 상기 TiCNH막을 소 및 질소 플라즈마로 처리하여 제2 예비 규화 질화 티타늄층인 TiN막을 상기 트랜치 내벽에 형성하는 단계; 및
    상기 제1 공정 챔버 내에서, 상기 TiN막에 실리콘 화합물을 제공하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  19. 제17항에 있어서, 상기 실리콘 화합물은 실랜인 것을 특징으로 하는 반도체 소자의 제조 방법.
  20. 제17항에 있어서, 상기 하부 전극을 노출하는 단계에서, 상기 규화 질화 티타늄층은 아르곤 이온에 의하여 식각되는 것을 특징으로 하는 반도체 소자의 제조 방법.
  21. 제17항에 있어서, 상기 전처리 단계는 상기 절연막을 할로겐 램프로 건조하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  22. 제17항에 있어서, 상기 전처리 단계는 상기 트랜치 내부에 형성된 잔류물을 수소 플라즈마로 제거하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
  23. 제17항에 있어서, 상기 전처리 단계는 상기 기판을 아르곤 이온으로 건식 클리닝하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.
KR1020060083332A 2006-08-31 2006-08-31 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법 KR100807066B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020060083332A KR100807066B1 (ko) 2006-08-31 2006-08-31 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법
JP2007215619A JP2008060568A (ja) 2006-08-31 2007-08-22 半導体素子の製造装置及びこれを用いた半導体素子の製造方法
US11/895,324 US7674700B2 (en) 2006-08-31 2007-08-24 Apparatus for manufacturing semiconductor device and method for manufacturing semiconductor device using the same
DE102007041078A DE102007041078A1 (de) 2006-08-31 2007-08-30 Vorrichtung zur Herstellung eines Halbleiterbauelementes und Verfahren zur Herstellung eines Halbleiterbauelementes unter Verwendung derselben
CNA2007101483521A CN101136316A (zh) 2006-08-31 2007-08-31 制造半导体器件的设备和使用其制造半导体器件的方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060083332A KR100807066B1 (ko) 2006-08-31 2006-08-31 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법

Publications (1)

Publication Number Publication Date
KR100807066B1 true KR100807066B1 (ko) 2008-02-25

Family

ID=39047135

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060083332A KR100807066B1 (ko) 2006-08-31 2006-08-31 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법

Country Status (5)

Country Link
US (1) US7674700B2 (ko)
JP (1) JP2008060568A (ko)
KR (1) KR100807066B1 (ko)
CN (1) CN101136316A (ko)
DE (1) DE102007041078A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9761488B2 (en) * 2015-07-17 2017-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for cleaning via of interconnect structure of semiconductor device structure
US10381307B1 (en) * 2018-05-14 2019-08-13 Nanya Technology Corporation Method of forming barrier layer over via, and via structure formed thereof
US10957937B2 (en) 2019-03-07 2021-03-23 International Business Machines Corporation Three-terminal copper-driven neuromorphic device
CN111341700A (zh) * 2020-03-10 2020-06-26 北京烁科精微电子装备有限公司 一种共用清洗模块、抛光设备及集成电路制造系统
CN111446153A (zh) * 2020-04-07 2020-07-24 北京烁科精微电子装备有限公司 一种晶圆清洗设备
CN111463107B (zh) * 2020-04-07 2023-04-28 北京晶亦精微科技股份有限公司 一种晶圆清洗设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053602A1 (en) 1999-12-29 2001-12-20 Lee Suk-Jae Method for manufacturing a copper interconnection in semiconductor memory device
KR20020055302A (ko) * 2000-12-28 2002-07-08 박종섭 반도체 소자의 구리 배선 형성 방법
KR20040053459A (ko) * 2002-12-14 2004-06-24 동부전자 주식회사 반도체 소자의 장벽 금속층 형성 방법
KR20050029487A (ko) * 2003-09-23 2005-03-28 매그나칩 반도체 유한회사 반도체 소자의 제조 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251771B1 (en) * 1998-02-23 2001-06-26 Texas Instruments Incorporated Hydrogen passivation of chemical-mechanically polished copper-containing layers
JP3208124B2 (ja) * 1998-06-01 2001-09-10 松下電器産業株式会社 半導体装置、半導体装置の製造方法、および半導体装置の製造装置
JP2000124195A (ja) * 1998-10-14 2000-04-28 Tokyo Electron Ltd 表面処理方法及びその装置
US6576546B2 (en) * 1999-12-22 2003-06-10 Texas Instruments Incorporated Method of enhancing adhesion of a conductive barrier layer to an underlying conductive plug and contact for ferroelectric applications
JP2001274160A (ja) * 2000-03-24 2001-10-05 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US6271136B1 (en) 2000-04-04 2001-08-07 Taiwan Semiconductor Manufacturing Company Multi-step plasma process for forming TiSiN barrier
US6498091B1 (en) 2000-11-01 2002-12-24 Applied Materials, Inc. Method of using a barrier sputter reactor to remove an underlying barrier layer
US6566246B1 (en) * 2001-05-21 2003-05-20 Novellus Systems, Inc. Deposition of conformal copper seed layers by control of barrier layer morphology
JP2003077839A (ja) * 2001-08-30 2003-03-14 Toshiba Corp 半導体製造装置のパージ方法及び半導体装置の製造方法
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010053602A1 (en) 1999-12-29 2001-12-20 Lee Suk-Jae Method for manufacturing a copper interconnection in semiconductor memory device
KR20020055302A (ko) * 2000-12-28 2002-07-08 박종섭 반도체 소자의 구리 배선 형성 방법
KR20040053459A (ko) * 2002-12-14 2004-06-24 동부전자 주식회사 반도체 소자의 장벽 금속층 형성 방법
KR20050029487A (ko) * 2003-09-23 2005-03-28 매그나칩 반도체 유한회사 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
DE102007041078A1 (de) 2008-03-13
CN101136316A (zh) 2008-03-05
US7674700B2 (en) 2010-03-09
JP2008060568A (ja) 2008-03-13
US20080057700A1 (en) 2008-03-06

Similar Documents

Publication Publication Date Title
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
KR102291990B1 (ko) 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US6946401B2 (en) Plasma treatment for copper oxide reduction
US6734102B2 (en) Plasma treatment for copper oxide reduction
US7026238B2 (en) Reliability barrier integration for Cu application
US6656831B1 (en) Plasma-enhanced chemical vapor deposition of a metal nitride layer
US7135403B2 (en) Method for forming metal interconnection line in semiconductor device
KR20160126890A (ko) 코발트 에치백
KR20180010990A (ko) 향상된 보텀-업 피처 충진을 위한 ale
KR100652334B1 (ko) 캡층을 갖는 반도체 상호연결 구조물 상에 금속층을피착하는 방법
JP2005504885A (ja) 新規なスパッタ堆積方法を使用したバリア形成
TW201732070A (zh) 透過整合流程系統形成低電阻觸點的方法
KR100807066B1 (ko) 반도체 소자 제조 장치 및 이를 이용한 반도체 소자의 제조방법
US6855632B2 (en) Cu film deposition equipment of semiconductor device
TW202105611A (zh) 用於對互連結構形成鈷及釕蓋層的方法
WO2021150280A1 (en) Methods and devices for subtractive self-alignment
US20030073301A1 (en) Multilayered diffusion barrier structure for improving adhesion property
TWI564422B (zh) 釕薄膜的化學氣相沉積及其應用
US20050189075A1 (en) Pre-clean chamber with wafer heating apparatus and method of use
WO2002046489A1 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR20030049901A (ko) 반도체 소자 제조 방법
KR20030054072A (ko) 배선 공정용 확산 방지막 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110120

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee