KR100381117B1 - 플라즈마 처리방법 및 장치 - Google Patents

플라즈마 처리방법 및 장치 Download PDF

Info

Publication number
KR100381117B1
KR100381117B1 KR10-2000-0008762A KR20000008762A KR100381117B1 KR 100381117 B1 KR100381117 B1 KR 100381117B1 KR 20000008762 A KR20000008762 A KR 20000008762A KR 100381117 B1 KR100381117 B1 KR 100381117B1
Authority
KR
South Korea
Prior art keywords
plasma
high frequency
substrate
plasma processing
vacuum vessel
Prior art date
Application number
KR10-2000-0008762A
Other languages
English (en)
Other versions
KR20000062605A (ko
Inventor
오쿠무라도모히로
하라구치히데오
마쓰이다쿠야
마쓰다이즈루
미쓰하시아키오
Original Assignee
마츠시타 덴끼 산교 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP04435999A external-priority patent/JP3417328B2/ja
Priority claimed from JP04624599A external-priority patent/JP3485013B2/ja
Application filed by 마츠시타 덴끼 산교 가부시키가이샤 filed Critical 마츠시타 덴끼 산교 가부시키가이샤
Publication of KR20000062605A publication Critical patent/KR20000062605A/ko
Application granted granted Critical
Publication of KR100381117B1 publication Critical patent/KR100381117B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/26Plasma torches
    • H05H1/30Plasma torches using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges

Abstract

진공 용기 내에 구성되는 플라즈마 트랩(trap)을 갖춘 진공 용기 내에 소정의 가스를 주입하고, 또한 이와 동시에 진공 장치로서 펌프를 사용하여 배기함으로써, 진공 용기의 내부를 소정의 압력으로 유지하면서, 대향 전극용 고주파 전원으로써 100 MHz의 고주파 전력을 대향 전극에 공급한다. 따라서 기판 전극위에 배치되는 기판에 대하여 에칭, 퇴적, 및 표면개질 등의 플라즈마 처리가 균일하게 실행되는, 균일한 플라즈마가 진공 용기 내에서 생성된다.

Description

플라즈마 처리방법 및 장치{PLASMA PROCESSING METHOD AND APPARATUS}
본 발명은 건식 에칭(dry etching), 스퍼터링(sputtering) 및 플라즈마 CVD 등의 플라즈마 처리방법과 아울러, 반도체 또는 기타 전자 장비 및 마이크로 머신의 제조에 이용되는, 상기 플라즈마 처리방법을 실시하기 위한 장치에 관한 것이다. 더욱 상세하게는, 본 발명은 VHF 또는 UHF 대역의 고주파 에너지로 여기된 플라즈마를 이용한 플라즈마 처리방법 및 장치에 관한 것이다.
나아가, 본 발명은, VHF 대역의 고주파 에너지를, 특히 플라즈마 여기용 대향 전극 또는 안테나로 공급함에 있어서의 임피던스 매칭(impedance matching)에 이용되는 플라즈마 처리용 매칭 박스에 관한 것이며, 또한 VHF 대역의 고주파 에너지로 여기된 플라즈마를 이용한 플라즈마 처리방법 및 장치에 관한 것이다.
일본 특허공개 8-83696호는 반도체 및 기타 전자 장비의 마이크로 구조화 경향에 맞추기 위하여 고밀도 플라즈마를 이용하는 것이 중요하다고 하는 반면, 높은 전자 밀도 및 낮은 전자 온도라는 장점으로 인해 최근 낮은 전자 온도 플라즈마가 관심을 끌고 있다.
높은 전기 음성도를 갖는 가스, 즉 Cl2, SF6등, 음이온을 발생시키는 경향을 띤 가스로 플라즈마를 형성한 경우, 전자 온도가 약 3 eV 또는 그 이하로 되면, 보다 높은 전자 온도 이상인 경우에 비해 더욱 다량의 음이온이 발생한다. 이러한 현상을 이용하면, 양이온의 과도한 조사(照射)로 인해 마이크로-패턴(micro-pattern) 바닥에 양전하가 축적될 경우 발생할 수도 있는 이른바 노치(notch)라는 에칭 형상이상을 방지할 수 있다. 이는, 높은 정밀도를 갖는 극히 미세한 패턴들이 이루어지도록 한다.
또한, 실리콘 산화물 같은 절연막을 에칭하는 데 통상 사용되는, CxFy 또는 CxHyFz(여기서, x, y, z는 자연수임) 등, 탄소 및 불소를 함유한 가스로 플라즈마를 형성한 경우, 전자 온도가 약 3 eV 또는 그 이하로 되면, 보다 높은 전자 온도인 경우에 비해 가스 해리(dissociation)가 더욱 억제된다. 특히, F 원자 발생시, F 라디칼(radical) 및 그 유사류가 억제된다. F 원자, F 라디칼 및 그 유사류의 실리콘 에칭 속도는 더욱 빠르기 때문에, 보다 낮은 전자 온도로 더 많은 양을 에칭함으로써, 실리콘에 대한 보다 높은 선택비(selection ratio)로 절연막 에칭을 실시할 수 있다.
아울러, 전자 온도가 3 eV 또는 그 이하로 되면, 이론 온도 및 플라즈마 포텐셜(potential) 역시 낮아짐으로써, 플라즈마 CVD내 기판에 대한 이온 손상을 줄일 수 있다.
낮은 전자 온도를 갖는 플라즈마를 발생시킬 수 있는 기술로서, VHF 또는 UHF 대역의 고주파 에너지를 이용하는 플라즈마원(plasma source)이 현재 주목받고 있다.
도 15는 이중-주파수 여기 평행 평판(dual-frequency excitation parallel-flat plate)형 플라즈마 처리장치의 단면도이다. 도 15에 따르면, 가스 공급 장치(202)로부터 진공실(201)로 특정 가스를 도입함과 동시에 배기 장치로서의 펌프(203)를 통해 배기시킴으로써 상기 진공실(201) 내부를 특정 압력으로 유지하면서, 대향 전극용 고주파 에너지 공급원(204)을 통해 100 MHz의 고주파 에너지를 대향 전극(205)에 공급한다. 이어서, 상기 진공실(201)내에 플라즈마가 형성되는데, 진공실내에서는, 기판 전극(206) 위에 놓인 기판(207)에 대한 에칭, 퇴적(deposition) 및 표면 개질(surface reforming) 등의 플라즈마 처리를 실시하게 된다. 이 경우, 도 15에 나타낸 바와 같이, 기판-전극-이용-고-주파수 에너지 공급원(208)을 통해 상기 기판 전극(206)에도 고주파 에너지를 공급함으로써, 상기 기판(207)에 이르는 이온 에너지를 조절할 수 있다. 덧붙여, 상기 대향 전극(205)은 절연 링(211)에 의해 상기 진공실(201)로부터 절연시킨다.
도 16은, 기제안된 바 있고, 또한 안테나형 플라즈마원이 장착된 플라즈마 처리장치의 단면도이다. 도 16에 따르면, 가스 공급 장치(302)로부터 진공실(301)로 특정 가스를 도입함과 동시에 배기 장치로서의 펌프(303)를 통해 배기시킴으로써 상기 진공실(301) 내부를 특정 압력으로 유지하면서, 안테나-이용-고-주파수 에너지 공급원(312)을 통해 100 MHz의 고주파 에너지를 절연체 윈도우(314) 위의 나선형 안테나(313)에 공급한다. 이어서, 진공실(301)내로 조사된 전자기파에 의해 상기 진공실(301)내에 플라즈마가 형성되는데, 진공실내에서는, 기판 전극(306) 위에 놓인 기판(307)에 대한 에칭, 도포(deposition) 및 표면 재구성 등의 플라즈마 처리를 실시하게 된다. 이 경우, 도 16에 나타낸 바와 같이, 기판-전극-이용-고-주파수 에너지 공급원(308)을 통해 상기 기판 전극(306)에도 고주파 에너지를 공급함으로써, 상기 기판(307)에 이르는 이온 에너지를 조절할 수 있다.
그러나, 도 15 및 도 16의 종래 방법들은 플라즈마의 균일성을 확보하기 어렵다는 문제점이 있다.
도 17은, 상기 도 15의 플라즈마 처리장치내 기판(207) 상방 20 mm 지점의 이온 포화 현재 밀도(ion saturation current density)를 측정한 결과이다. 플라즈마 발생을 위한 조건으로서는, Cl2가스형, 100 sccm의 유동 속도, 1 Pa의 압력, 및 2 kW의 고주파 에너지로 한다. 도 17로부터, 가장자리 영역의 플라즈마 밀도가 더욱 높음을 알 수 있다.
도 18은, 상기 도 16의 플라즈마 처리장치내 기판(307) 상방 20 mm 지점의 이온 포화 현재 밀도를 측정한 결과이다. 플라즈마 발생을 위한 조건으로서는, Cl2가스형, 100 sccm의 유동 속도, 1 Pa의 압력, 및 2 kW의 고주파 에너지로 한다. 도 18로부터, 가장자리 영역의 플라즈마 밀도가 더욱 높음을 알 수 있다.
그러한 플라즈마 불균일성은, 50 MHz 또는 그 이하의 고주파 에너지일 경우 볼 수 없는 현상이다. 플라즈마의 전자 온도를 낮추기 위해서는 50 MHz 또는 그 이상의 고주파 에너지를 이용할 필요가 있는 반면, 이 주파수 대역에 있어서는, 플라즈마에 대해 용량성 또는 유도성으로 연결된 상기 대향 전극 또는 안테나에 의해 플라즈마가 발생하는 장점뿐 아니라, 대향 전극 또는 안테나로부터 조사되어 플라즈마 표면 위로 전파되는 전자기파에 의해 플라즈마가 발생하는 장점이 있다. 플라즈마 표면 위로 전파되는 전자기파의 굴절면 역할을 하는 상기 진공실내 가장자리영역에는, 더욱 강한 전계가 형성됨에 따라 두꺼운 플라즈마가 형성된다.
또한, 앞서 언급한 바와 같이, 높은 전기 음성도를 갖는 가스, 즉 Cl2, SF6등, 음이온을 발생시키는 경향을 띤 가스로 플라즈마를 형성한 경우, 전자 온도가 약 3 eV 또는 그 이하로 되면, 보다 높은 전자 온도인 경우에 비해 더욱 다량의 음이온이 발생한다. 이러한 현상을 이용하면, 양이온의 과도한 조사로 인해 마이크로-패턴 바닥에 양전하가 축적될 경우 발생할 수도 있는, 기판상으로의 이온 조사 각도의 직각성(perpendicularity)이 악화되는 현상을 방지할 수 있다. 이는, 높은 정밀도를 갖는 극히 미세한 패턴들이 이루어지도록 한다. 더욱이, 음이온의 높은 반응성을 이용함으로써 처리의 향상을 기대할 수 있다.
아울러, 실리콘 산화물 같은 절연막을 에칭하는 데 통상 사용되는, CxFy 또는 CxHyFz(여기서, x, y, z는 자연수임) 등, 탄소 및 불소를 함유한 가스로 플라즈마를 형성한 경우, 전자 온도가 약 3 eV 또는 그 이하로 되면, 보다 높은 전자 온도인 경우에 비해 가스 해리가 더욱 억제된다. 특히, F 원자 발생시, F 라디칼 및 그 유사류가 억제된다. F 원자, F 라디칼 및 그 유사류의 실리콘 에칭 속도는 더욱 빠르기 때문에, 보다 낮은 전자 온도로 더 많은 양을 에칭함으로써, 실리콘에 대한 보다 높은 선택비로 절연막 에칭을 실시할 수 있다.
또한, 전자 온도가 3 eV 또는 그 이하로 되면, 이론 온도 및 플라즈마 포텐셜 역시 낮아짐으로써, 플라즈마 CVD내 기판에 대한 이온 손상을 줄일 수 있다.
낮은 전자 온도를 갖는 플라즈마를 발생시킬 수 있는 기술로서 현재 주목을받고 있는 것은, VHF 대역의 고주파 에너지를 이용하는 플라즈마원이다.
도 24는 이중-주파수 여기 평행 평판형 플라즈마 처리장치의 단면도이다. 도 24에 따르면, 가스 공급 장치(402)로부터 진공실(401)로 특정 가스를 도입함과 동시에 배기 장치로서의 펌프(403)를 통해 배기시킴으로써 상기 진공실(401) 내부를 특정 압력으로 유지하면서, 대향 전극용 고주파 에너지 공급원(404)을 통해 100 MHz의 고주파 에너지를, 매칭 박스(405) 및 고주파 연결 장치(마운트)(406)를 경유하여 대향 전극(407)으로 공급한다. 이어서, 상기 진공실(401)내에 플라즈마가 형성되는데, 진공실내에서는, 기판 전극(408) 위에 놓인 기판(409)에 대한 에칭, 도포 및 표면 재구성 등의 플라즈마 처리를 실시하게 된다. 이 경우, 도 24에 나타낸 바와 같이, 기판-전극-이용-고-주파수 에너지 공급원(410)을 통해 상기 기판 전극(408)에도 고주파 에너지를 공급함으로써, 상기 기판(409)에 이르는 이온 에너지를 조절할 수 있다. 덧붙여, 상기 대향 전극(407)은 절연 링(411)에 의해 상기 진공실(401)로부터 절연시킨다. 상기 매칭 박스(405)는 고주파 입력 단자(412), 제1가변 콘덴서(413), 고주파 출력 단자(414), 제2가변 콘덴서(415), 제1모터(416), 제2모터(417) 및 모터 제어 회로(418)를 포함하여 구성된다.
그러나, 도 24의 종래 방법은 플라즈마의 균일성을 확보하기 어렵다는 문제점이 있다.
도 25는, 상기 도 24의 플라즈마 처리장치내 기판(409) 상방 20 mm 지점의 이온 포화 현재 밀도를 측정한 결과이다. 플라즈마 발생을 위한 조건으로서는, Cl2가스형, 100 sccm의 유동 속도, 2 Pa의 압력, 및 1 kW의 고주파 에너지로 한다. 또한, 도 24에 나타낸 바와 같이, 상기 제2가변 콘덴서(415)는 도 25의 측정 위치 한쪽 옆에 배치한다. 도 25로부터, 측정 위치의 한쪽, 즉 제2가변 콘덴서(415) 바로 아래의 플라즈마 밀도가 더욱 높음을 알 수 있다.
그러한 플라즈마 불균일성은, 50 MHz 또는 그 이하의 고주파 에너지일 경우 볼 수 없는 현상이다. 플라즈마의 전자 온도를 낮추기 위해서는 50 MHz 또는 그 이상의 고주파 에너지를 이용할 필요가 있는 반면, 이 주파수 대역에 있어서는, 상기 대향 전극(407)내 전위 분포를 야기하게 된다. 이 전위 분포는, 제2가변 콘덴서(415)를 상기 매칭 박스(405) 내부에 배치함으로 인하여, 제2가변 콘덴서(415) 바로 아래의 전계를 강화시켜 플라즈마 불균일성을 가져오는 것으로 결론지을 수 있다.
그러한 현상은, 대향 전극(407) 대신 나선형 안테나를 이용하는 도 26의 구조에서 볼 수 있다. 도 26에 나타낸 바의 종래 기술에 있어서는 절연체 윈도우(421)를 이용한다.
본 발명의 목적은 건식 에칭(dry etching), 스퍼터링(sputtering) 및 플라즈마 CVD 등의 플라즈마 처리방법과 아울러, 반도체 또는 기타 전자 장비 및 마이크로 머신의 제조에 이용되는, 상기 플라즈마 처리방법을 실시하기 위한 장치를 제공하는 것이다. 더욱 상세하게는, 본 발명은 VHF 또는 UHF 대역의 고주파 에너지로 여기된 플라즈마를 이용한 플라즈마 처리방법 및 장치를 제공하는 것이다.
나아가, 본 발명은, VHF 대역의 고주파 에너지를, 특히 플라즈마 여기용 대향 전극 또는 안테나로 공급함에 있어서의 임피던스 매칭(impedance matching)에 이용되는 플라즈마 처리용 매칭 박스를 제공하고, 또한 VHF 대역의 고주파 에너지로 여기된 플라즈마를 이용한 플라즈마 처리방법 및 장치를 제공하는 것이다.
도 1a는 본 발명의 제1실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 1b는 도 1a의 플라즈마 처리장치의 플라즈마 트랩의 평면도.
도 2는 본 발명의 제1실시예에서, 이온 포화 전류밀도의 측정결과를 보여주는 도면.
도 3은 본 발명의 제2실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 4는 본 발명의 제3실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 5는 본 발명의 제4실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 6은 본 발명의 제5실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 7은 본 발명의 제6실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 8은 본 발명의 제7실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 9는 본 발명의 제7실시예에서, 이온 포화 전류밀도의 측정결과를 보여주는 도면.
도 10은 본 발명의 제8실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 11은 본 발명의 제9실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 12는 본 발명의 다른 실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 13은 본 발명의 다른 실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 14는 본 발명의 다른 실시예에서 채용한 플라즈마 트랩의 구성을 보여주는 평면도.
도 15는 선행기술에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 16은 선행기술에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 17은 선행기술에서, 이온 포화 전류밀도의 측정결과를 보여주는 도면.
도 18은 선행기술에서, 이온 포화 전류밀도의 측정결과를 보여주는 도면.
도 19는 본 발명의 제10실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 20은 본 발명의 제10실시예에서, 이온 포화 전류밀도의 측정결과를 보여주는 도면.
도 21은 본 발명의 제11실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 22는 본 발명의 제12실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 23은 본 발명의 제13실시예에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 24는 선행기술에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 25는 선행기술에서, 이온 포화 전류밀도의 측정결과를 보여주는 도면.
도 26은 선행기술에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 27은 본 발명의 제3실시예의 변형에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 28은 본 발명의 제8실시예의 변형에서 채용한 플라즈마 처리장치의 구성을 보여주는 단면도.
도 29은 도 19의 본 발명의 제10실시예의 플라즈마 처리장치와 도 27의 본 발명의 제3실시예의 변형인 플라즈마 처리장치들이 서로 결합된, 플라즈마 처리장치의 구성을 보여주는 단면도.
도 30은 도 21의 본 발명의 제11실시예의 플라즈마 처리장치와 도 28의 본 발명의 제8실시예의 변형인 플라즈마 처리장치가 결합된, 플라즈마 처리장치의 구성을 보여주는 단면도.
상기 목적을 달성하기 위하여, 본 발명은 다음의 구성을 갖는다.
이러한 및 기타의 특징을 달성함에 있어, 본 발명의 제1특징에 따르면, 진공 용기내에서 플라즈마를 생성하고, 또한 진공 용기내의 기판 전극위에 배치한 기판을 처리하는 플라즈마 처리방법으로서,
진공 용기내에 가스를 주입하고, 또한 이와 동시에 진공 용기 내부를 배기함으로써, 진공 용기 내부를 소정의 압력으로 제어하면서, 기판에 대향하여 구성된 대향 전극에 50 MHz에서 3GHz의 주파수를 갖는 고주파 전력을 공급하여 플라즈마를 생성하고, 또한
기판에 대향하여 구비된 환상(環狀)의 홈으로 된 플라즈마 트랩(trap)으로써 기판상의 플라즈마 분포를 제어하면서, 생성된 플라즈마를 사용하여 기판을 처리하는 방법을 포함하는 플라즈마 처리방법이 제공된다.
본 발명의 제2특징에 따르면, 진공 용기내에서 플라즈마를 생성하여, 진공 용기내의 기판 전극위에 배치한 기판을 처리하는 플라즈마 처리방법으로서,
진공 용기내에 가스를 주입하고, 또한 이와 동시에 진공 용기 내부를 배기함으로써, 진공 용기 내부를 특정 압력으로 제어하면서, 50 MHz에서 3 GHz의 주파수를 갖는 고주파 전력을 안테나에 공급하여, 기판에 대향하여 구성된 유전체 창을 통하여 진공 용기내에 전자파를 방사함으로써 플라즈마를 생성하고, 또한
기판에 대향하여 구비된 환상의 홈으로 된 플라즈마 트랩으로써 기판상의 플라즈마 분포를 제어하면서, 생성된 플라즈마를 사용하여 기판을 처리하는 방법을 포함하는 플라즈마 처리방법이 제공된다.
본 발명의 제3특징에 따르면, 진공 용기의 내벽면을 형성하고, 또한 기판에 대향하는 표면의 외부에서 플라즈마 트랩에 의해 둘러싸인 부분의 면적이 기판 부분의 0.5배 내지 2.5배이면서, 기판을 처리하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제4특징에 따르면, 플라즈마 트랩의 홈의 폭이 3 mm 내지 50 mm이면서, 기판을 처리하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제5특징에 따르면, 플라즈마 트랩이 5 mm보다는 작지 않은 깊이의 홈을 갖고, 기판을 처리하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제6특징에 따르면, 플라즈마 트랩을 대향 전극내에 구성하여, 기판을 처리하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제7특징에 따르면, 진공 용기와 대향 전극을 서로 절연하는 절연 링의 외부에 플라즈마 트랩을 구성하여, 플라즈마를 생성하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제8특징에 따르면, 진공 용기와 대향 전극을 서로 절연하는 절연링, 및 대향 전극과의 사이에 플라즈마 트랩을 구성하여, 플라즈마를 생성하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제9특징에 따르면, 진공 용기와 대향 전극을 서로 절연하는 절연 링, 및 진공 용기와의 사이에 플라즈마 트랩을 구성하여, 플라즈마를 생성하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제10특징에 따르면, 플라즈마 트랩을 유전체 창(誘電體窓)내에 구성하여, 플라즈마를 생성하는, 제2특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제11특징에 따르면, 플라즈마 트랩을 유전체 창 외부에 구성하여, 플라즈마를 생성하는, 제2특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제12특징에 따르면, 플라즈마 트랩을 진공 용기 및 유전체 창 사이에 구성하여, 플라즈마를 생성하는, 제2특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제13특징에 따르면, 진공 용기내에서 직류 자계를 배제하여, 플라즈마를 생성하는, 제1특징에 따른 플라즈마 처리방법을 제공한다.
본 발명의 제14특징에 따르면,
진공 용기와,
진공 용기내에 가스를 공급하는 가스 공급 장치와,
진공 용기의 내부를 배기하는 진공 장치와,
진공 용기내에서 기판을 그 위에 배치하는 기판 전극과,
기판 전극에 대향해서 구성되는 대향 전극과,
50 MHz에서 3 GHz까지의 주파수를 갖는 고주파 전력을 대향 전극에 공급할 수 있는 고주파 전원, 및
기판에 대향하여 구성되는 환상의 홈으로 된 플라즈마 트랩을 포함하는 플라즈마 처리장치를 구성한다.
본 발명의 제15특징에 따르면,
진공 용기와,
진공 용기내에 가스를 공급하는 가스 공급 장치와,
진공 용기의 내부를 배기하는 진공 장치와,
진공 용기내에서 기판을 그 위에 배치하는 기판 전극과,
기판 전극에 대향하여 구성되는 유전체 창과,
유전체 창을 경유하여 진공 용기내에 전자파를 방사하는 안테나와,
50 MHz에서 3 GHz까지의 주파수를 갖는 고주파 전력을 안테나에 공급할 수 있는 고주파 전원, 및
기판에 대향하여 구성되는 환상의 홈으로 된 플라즈마 트랩을 포함하는 플라즈마 처리장치를 구성한다.
본 발명의 제16특징에 따르면, 진공 용기의 내벽면을 형성하고, 또한 기판에 대향하는 표면의 외부에서 플라즈마 트랩에 의해 둘러싸인 부분의 면적이 기판 부분의 0.5배 내지 2.5배인, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제17특징에 따르면, 플라즈마 트랩의 홈의 폭이 3 mm 내지 50 mm인, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제18특징에 따르면, 플라즈마 트랩이 5 mm보다는 작지 않은 깊이의 홈을 갖는, 제14특징 또는 제15특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제19특징에 따르면, 플라즈마 트랩이 대향 전극내에 구성되는, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제20특징에 따르면, 플라즈마 트랩을 진공 용기와 대향 전극을 서로 절연하는 절연 링내에 구성하는, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제21특징에 따르면, 플라즈마 트랩을 진공 용기와 대향 전극을 서로 절연하는 절연 링 외부에 구성하는, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제22특징에 따르면, 진공 용기와 대향 전극을 서로 절연하는 절연 링, 및 대향 전극과의 사이에 플라즈마 트랩을 구성하는, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제23특징에 따르면, 진공 용기와 대향 전극을 서로 절연하는 절연 링, 및 진공 용기와의 사이에 플라즈마 트랩을 구성하는, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제24특징에 따르면, 플라즈마 트랩을 유전체 창내에 구성하는, 제15특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제25특징에 따르면, 플라즈마 트랩을 유전체 창 외부에 구성하는, 제15특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제26특징에 따르면, 플라즈마 트랩을 진공 용기와 유전체 창 사이에 구성하는, 제15특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제27특징에 따르면, 직류 자계를 인가하는 아무런 코일 또는 영구 자석도 진공 용기내에 구비하지 않는, 제14특징에 따른 플라즈마 처리장치를 구성한다.
본 발명의 제28특징에 따르면, 제14특징 또는 제15특징에 따르는 플라즈마 처리장치로서, 플라즈마 처리장치 안에서 사용하기 위한, 또 부하(負荷)에 고주파 전력을 공급함에 있어 임피던스를 정합시키기 위한 정합기를 더 포함하며, 상기 정합기는 고주파 입력 단자; 하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 정합기 케이싱에 접속되는 제1리액턴스 소자; 고주파 출력 단자; 및 하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 고주파 출력 단자에 접속되는 제2리액턴스 소자를 포함하며, 제2리액턴스 소자가 고주파 출력 단자의 중심축을 통과하는 직선상에 위치하도록 제2리액턴스 소자 및 고주파 출력 단자가 배열되는 플라즈마 처리장치를 구성한다.
본 발명의 제29특징에 따르면, 제28특징에서 제1리액턴스 소자와 제2리액턴스 소자는 각각 콘덴서인 플라즈마 처리장치를 구성한다.
본 발명의 제30특징에 따르면, 플라즈마 처리장치에서 사용하고, 또한 부하에 고주파 전력을 공급함에 있어 임피던스를 정합시키는 정합기로서, 상기 정합기는,
고주파 입력 단자와,
하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 정합기 케이싱에 접속되는 제1리액턴스 소자와,
고주파 출력 단자, 및
하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 고주파 출력 단자에 접속되는 제2리액턴스 소자를 포함하고,
제2리액턴스 소자가 고주파 출력 단자의 중심축을 통과하는 직선상에 위치하도록 제2리액턴스 소자 및 고주파 출력 단자가 배열되는 정합기를 구성한다.
본 발명의 제31특징에 따르면, 제2리액턴스 소자의 중심축을 통과하는 직선과 고주파 출력 단자의 중심축을 통과하는 직선이 통상적으로 서로 일치하도록 제2리액턴스 소자 및 고주파 출력 단자가 배열되는, 제30특징에 따른 플라즈마 처리장치용 정합기를 구성한다.
본 발명의 제32특징에 따르면, 제1리액턴스 소자 및 제2리액턴스 소자는 각각 콘덴서인, 제30특징에 따른 플라즈마 처리장치용 정합기를 구성한다.
본 발명의 제33특징에 따르면, 제2리액턴스 소자의 중심축을 통과하는 직선과 제1리액턴스 소자의 중심축을 통과하는 직선이 통상적으로 서로 일치하도록 제1리액턴스 소자 및 제2리액턴스 소자가 배열되는, 제30특징에 따른 플라즈마 처리장치용 정합기를 구성한다.
본 발명의 제34특징에 따르면, 고주파 출력 단자는 제2리액턴스 소자 자체의다른 하나의 단말인, 제30특징에 따른 플라즈마 처리장치용 정합기를 구성한다.
본 발명의 제35특징에 의해서, 진공 용기 내에서 플라즈마를 생성하고, 또한 진공 용기 내의 기판 전극 위에 배치되는 기판을 처리하기 위한 플라즈마 처리방법으로서,
고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선이 통상적으로 함께 일치하도록 배열하고,
진공 용기 내에 가스를 주입하고, 또한 이와 동시에 진공 용기 내부를 배기함으로써, 진공 용기의 내부를 소정의 압력으로 제어하고,
제30특징에서 구성되는 정합기를 통하여 기판에 대향하여 구성되는 대향 전극 또는 안테나에, 및 정합기의 고주파 출력 단자와, 대향 전극 또는 안테나를 서로 접속하도록 구성되는 고주파 결합기에, 50 MHz부터 300 MHz까지의 주파수의 고주파 전력을 인가함으로써 플라즈마를 생성하고, 또한
생성된 플라즈마를 사용하여 기판을 처리하는 방법을 포함하는 플라즈마 처리방법이 제공된다.
본 발명의 제36특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
고주파 출력 단자의 중앙 축을 통과하는 직선, 및 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열함으로써,
고주파 출력 단자의 중앙 축을 통과하는 직선, 및 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 방법을 추가로 포함하는, 제35특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제37특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
제2리액턴스 소자의 중앙 축을 통과하는 직선, 및 제1리액턴스 소자의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 제1리액턴스 소자 및 제2리액턴스 소자를 배열함으로써,
제2리액턴스 소자의 중앙 축을 통과하는 직선, 및 제1리액턴스 소자의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 방법을 추가로 포함하는, 제35특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제38특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
고주파 출력 단자가 제2리액턴스 소자 자체의 다른 하나의 단말이 되도록 배열함으로써,
고주파 출력 단자가 제2리액턴스 소자 자체의 다른 하나의 단말이 되어서 플라즈마가 생성되는 방법을 포함하는, 제35특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제39특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
제2리액턴스 소자의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의실질적인 거리를 고주파 전력의 파장의 1/10 이하가 되도록 배열함으로써,
제2리액턴스 소자의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하가 되어서 플라즈마가 생성되는 방법을 포함하는, 제35특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제40특징에 의해서, 진공 용기 내에서 플라즈마를 생성하고, 또한 진공 용기 내의 기판 전극 위에 배치되는 기판을 처리하기 위한 플라즈마 처리방법으로서,
고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선이 통상적으로 함께 일치하도록 배열하고,
진공 용기 내에 가스를 주입하고, 또한 이와 동시에 진공 용기 내부를 배기함으로써, 진공 용기의 내부를 소정의 압력으로 제어하고,
제33특징에서 구성되는 정합기를 통하여 기판에 대향하여 구성되는 대향 전극 또는 안테나에, 및 정합기의 고주파 출력 단자와, 대향 전극 또는 안테나를 서로 접속하도록 구성되는 고주파 결합기에, 50 MHz부터 300 MHz까지의 주파수의 고주파 전력을 인가함으로써 플라즈마를 생성하고, 또한
생성된 플라즈마를 사용하여 기판을 처리하는 방법을 포함하는 플라즈마 처리방법이 제공된다.
본 발명의 제41특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
고주파 출력 단자의 중앙 축을 통과하는 직선, 및 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열함으로써,
고주파 출력 단자의 중앙 축을 통과하는 직선, 및 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 방법을 추가로 포함하는, 제40특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제42특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
제2가변 콘덴서의 중앙 축을 통과하는 직선, 및 제1가변 콘덴서의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 제1가변 콘덴서 및 제2가변 콘덴서를 배열함으로써,
제2가변 콘덴서의 중앙 축을 통과하는 직선, 및 제1가변 콘덴서의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 방법을 추가로 포함하는, 제40특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제43특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
고주파 출력 단자가 제2가변 콘덴서 자체의 다른 하나의 단말이 되도록 배열함으로써,
고주파 출력 단자가 제2가변 콘덴서 자체의 다른 하나의 단말이 되어서 플라즈마가 생성되는 방법을 포함하는, 제40특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제44특징에 의해서, 진공 용기의 내부를 소정의 압력으로 제어하기 전에,
제2가변 콘덴서의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리를 고주파 전력의 파장의 1/10 이하가 되도록 배열함으로써,
제2가변 콘덴서의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하가 되어서 플라즈마가 생성되는 방법을 추가로 포함하는, 제40특징에 의한 플라즈마 처리방법이 제공된다.
본 발명의 제45특징에 의해서,
진공 용기와,
진공 용기에 가스를 공급하는 가스 공급 장치와,
진공 용기의 내부를 배기시키는 진공 장치와,
진공 용기 내에서 기판을 그 위에 배치하는 기판 전극과,
기판 전극에 대향해서 구성되는 대향 전극 또는 안테나와,
대향 전극 또는 안테나에 50 MHz부터 300 MHz까지의 주파수를 갖는 고주파 전력을 공급할 수 있는 고주파 전원과,
제30특징에서 구성되는 정합기와, 및
정합기의 고주파 출력 단자와, 대향 전극 또는 안테나를 서로 접속하는 고주파 결합기를 포함하는 플라즈마 처리장치로서,
고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열되는, 플라즈마 처리장치가 구성된다.
본 발명의 제46특징에 의해서, 고주파 출력 단자의 중앙 축을 통과하는 직선, 및 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열되는, 제45특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제47특징에 의해서, 제2리액턴스 소자의 중앙 축을 통과하는 직선, 및 제1리액턴스 소자의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록, 제1리액턴스 소자 및 제2리액턴스 소자가 배열되는, 제45특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제48특징에 의해서, 고주파 출력 단자가 제2리액턴스 소자 자체의 다른 하나의 단말인, 제45특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제49특징에 의해서, 제2리액턴스 소자의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하인, 제45특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제50특징에 의해서,
진공 용기와,
진공 용기에 가스를 공급하는 가스 공급 장치와,
진공 용기의 내부를 배기시키는 진공 장치와,
진공 용기 내에서 기판을 그 위에 배치하는 기판 전극과,
기판 전극에 대향해서 구성되는 대향 전극 또는 안테나와,
대향 전극 또는 안테나에 50 MHz부터 300 MHz까지의 주파수를 갖는 고주파전력을 공급할 수 있는 고주파 전원과,
제30특징에서 구성되는 정합기와, 및
정합기의 고주파 출력 단자와, 대향 전극 또는 안테나를 서로 접속하는 고주파 결합기를 포함하는 플라즈마 처리장치로서,
고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열되는, 플라즈마 처리장치가 구성된다.
본 발명의 제51특징에 의해서, 고주파 출력 단자의 중앙 축을 통과하는 직선, 및 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열되어서, 플라즈마가 생성되는, 제50특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제52특징에 의해서, 제2가변 콘덴서의 중앙 축을 통과하는 직선, 및 제1가변 콘덴서의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록, 제1가변 콘덴서 및 제2가변 콘덴서가 배열되는, 제50특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제53특징에 의해서, 고주파 출력 단자가 제2가변 콘덴서 자체의 다른 하나의 단말인, 제50특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 제54특징에 의해서, 제2가변 콘덴서의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하인, 제50특징에 의한 플라즈마 처리장치가 구성된다.
본 발명의 설명을 진행하기에 앞서, 첨부도면 전체를 통해 동일 부분들에는 동일한 참조부호가 표시되었다는 것을 명심해야 한다.
이하에, 첨부도면을 참조하여 본 발명에 따른 실시예들을 상세히 설명한다.
도 1a, 1b 및 2를 참조하여 본 발명의 제1실시예를 아래에서 설명한다.
도 1a는, 본 발명의 제1실시예에서 채용한 플라즈마 처리장치의 단면도를 보여준다. 도 1a에 있어서, 가스공급장치(2)에서부터 진공용기(1)로 소정의 가스를 도입하면서, 배기장치로서의 펌프(3)로 배기를 행하여, 진공용기(1)의 내부를 소정의 압력으로 유지하면서, 대향 전극용 고주파전원(4)으로 100 MHz의 고주파전력을 대향 전극(counter electrode)에 공급한다. 그러면, 진공용기(1) 내에 플라즈마가 발생하여, 기판 전극(6) 상에 재치된 기판(7)에 대하여 에칭, 퇴적, 표면개질(表面改質)과 같은 플라즈마 처리를 행할 수 있다. 또한, 기판 전극(6)에 고주파전력을 공급하기 위한 기판 전극용 고주파전원(8)이 설치되어, 기판(7)에 도달하는 이온에너지를 제어할 수 있게 된다. 기판(7)에 대향하여 설치된, 환상인 동시에 홈형 플라즈마 트랩(9)으로써, 기판 상의 플라즈마 분포를 제어한 상태에서 기판(7)을 처리할 수 있도록 한다. 플라즈마 트랩(9)은 대향 전극(5)에 설치된다. 진공용기(1)의 내벽면을 형성하고 기판(7)에 대향하는 면 중, 플라즈마 트랩(9)에 의해 둘러싸이는 부분(10)(사선부)의 면적은, 기판(7)의 면적의 0.8배이다. 또한, 플라즈마 트랩(9)의 홈폭은 10 mm이고, 플라즈마 트랩(9)의 홈 깊이는 15 mm이다. 이외에도, 대향 전극(5)은 절연링(11)에 의해 진공용기(1)와는 절연되어 있다.
도 2에, 이온 포화 전류밀도를, 기판(7)의 바로 위 20 mm의 위치에서 측정한결과가 도시되어 있다. 플라즈마 발생조건은, 가스종과 유량은 Cl2=100 sccm이고, 가스압력은 1Pa이고, 고주파전력은 2kW이다. 도 2로부터 알 수 있듯이, 도 17에 도시된 것과 같이 주변부의 플라즈마 농도가 높아지는 경향이 억제되고, 균일한 플라즈마가 발생한다.
이와 같이, 선행기술인 도 15에 도시된 플라즈마 처리장치와 비교하여 플라즈마의 균일성이 개선된 원인은 다음과 같이 생각할 수 있다. 대향 전극(5)에서부터 방사된 전자기파는, 플라즈마 트랩(9)에서 강하게 된다. 또한, 낮은 전자온도의 플라즈마에서는 중공 캐소오드 방전이 발생하기 쉬운 경향이 있기 때문에, 고체 표면으로 둘러싸인 플라즈마 트랩(9)에서 고밀도의 플라즈마(중공 플라즈마 방법)가 발생하기 쉽게 된다. 따라서, 진공용기(1) 내에서는, 플라즈마 밀도가 플라즈마 트랩(9)에서 가장 높고, 확산에 의해 기판(7) 근처로 플라즈마가 운송되기 때문에, 균일한 플라즈마를 얻을 수 있다.
이외에도, 중공 캐소오드방전은 아래에서 설명하는 것과 같은 것이다. 일반적으로, 플라즈마와 접촉해 있는 고체표면은, 전자와 이온의 열운동 속도의 차이로 인해 음으로 대전되기 때문에, 고체표면에서부터 전자를 반발시키는 DC 전기장이 고체표면에 발생한다. 본 발명의 제1실시예에 예시된 플라즈마 트랩(9)에서 같이, 고체표면들로 둘러싸인 공간에서는, 전자가 고체표면과 충돌하는 확률이, DC 전기장의 존재에 의해 감소되기 때문에, 전자의 수명이 길어진다. 그 결과, 플라즈마 트랩(9)에서 고밀도의 플라즈마가 발생한다. 이와 같은 방전을 중공 캐소오드 방전이라고 한다.
이상에서 설명한 본 발명의 제1실시예에 있어서, 플라즈마 트랩(9)이 대향 전극(5)에 설치되어 있는 경우에 대하여 설명하였지만, 그러나, 이 경우, 대향 전극(5)에 발생하는 자기-바이어스(self-bias)전압에 의해, 플라즈마 트랩(9)에 존재하는 고밀도 이온들이 높은 에너지로 대향 전극(5)과 충돌하여, 대향 전극(5)의 스퍼터링이 발생할 수 있다. 대향 전극(5)의 스퍼터링은, 대향 전극(5)의 수명 단축이나, 기판(7)으로 불순물의 혼입을 초래한다고 하는 문제점 때문에 좋지 않다. 이것을 피하기 위해서는, 플라즈마 트랩을 대향 전극(5) 이외의 부분에 구성하면 된다. 예컨대, 플라즈마 트랩(9)을, 도 3의 제2실시예에 도시한 것과 같이 절연링(11)에 설치할 수 있다. 또한, 플라즈마 트랩(9)을, 도 4의 제3실시예에 도시한 것과 같이 절연링(11)의 외측, 즉 진공용기(1)의 금속성 상부벽에 설치할 수 있다. 또한, 플라즈마 트랩(9)을, 도 5의 제4실시예 또는 도 6의 제5실시예에 도시한 것과 같이, 대향 전극(5)과 절연링(11)과의 사이에 설치하여도, 약간의 개선을 이룰 수 있다. 또한, 플라즈마 트랩(9)을, 도 7의 제6실시예에 도시한 것과 같이 진공용기(1)의 상부벽(1a)과 절연링(11)과의 사이에 설치할 수 있다.
도 1a에서, 플라즈마 트랩(9)은 삼면, 즉 대향 전극(5)으로 된 내측면과, 상부면과, 외측면으로 정해진다. 도 3에서, 플라즈마 트랩(9)은 삼면, 즉 절연링(11)으로 된 내측면과, 상부면과 외측면으로 정해진다. 도 4에 있어서, 플라즈마 트랩(9)은 삼면, 즉 진공용기(1)의 상부벽(1a)으로 된 내측면과, 상부면과 외측면으로 이루어진다. 도 5에 있어서, 플라즈마 트랩(9)은 대향 전극(5)으로 된 내측면과 절연링(11)으로 된 상부면과 외측면으로 정해진다. 도 6에 있어서, 플라즈마 트랩(9)은 대향 전극(5)으로 된 내측면과 외측면과, 절연링(11)과 진공용기(1)의 상부벽(1a)으로 된 외측면으로 정해진다. 도 7에 있어서, 플라즈마 트랩(9)은 절연링 (11)으로 된 내측면과 진공용기(1)의 상부벽(1a)으로 된 상부면과 외측면을 가진다.
다음에, 본 발명의 제7실시예를 도 8과 9를 참조하여 설명한다.
도 8은, 본 발명의 제7실시예에서 채용한 플라즈마 처리장치의 단면도를 보여준다. 도 8에 있어서, 진공용기(1) 내에, 가스공급장치(2)에서부터 소정의 가스를 도입하면서, 배기장치로서의 펌프(3)로 배기를 행하여 진공용기(1) 내를 소정의 압력으로 유지하면서, 안테나용 고주파전원(12)으로 100 MHz의 고주파전력을 나선형의 안테나에 인가하고, 기판 전극(6)에 재치된 기판(7)에 대향하여 설치된 유전체 창(14)을 통하여, 진공용기(1) 내에 전자파를 방사함으로써, 진공용기(1) 내에 플라즈마를 발생시켜, 기판(7)에 대하여 에칭, 퇴적, 표면개질 등의 플라즈마 처리를 행할 수 있다. 또한, 기판 전극(6)에 고주파전력을 공급하기 위한 기판 전극용 고주파전원(8)이 설치되어 있어, 기판(7)에 도달하는 이온에너지를 제어할 수 있게 된다. 또한, 기판(7)에 대향하여 설치된, 환상이면서 홈형태인 플라즈마 트랩(9)으로, 기판상의 플라즈마 분포를 제어한 상태에서 기판을 처리할 수 있도록 되어 있다. 플라즈마 트랩(9)은, 절연체 창(14)의 내측면과 상부면과 외측면으로 규정되는 절연체 창(14)에 설치된다. 진공용기(1)의 내벽면을 구성하는, 기판에 대향하는 면들 중에서, 플라즈마 트랩(9)에 의해 둘러싸이는 부분(10)(사선부)의 면적은 기판면적의 0.8배이다. 또한, 플라즈마 트랩(9)의 홈폭은 10 mm이고, 플라즈마 트랩(9)의 홈깊이는 15 mm이다.
도 9는, 이온 포화 전류밀도를, 기판(7)의 바로 위 20 mm 위치에서 측정한 결과를 보여준다. 플라즈마 발생조건은, 가스종과 가스유량이 Cl2=100 sccm이고, 압력이 1Pa이고, 고주파전력이 2kW이다. 도 18에 도시된 것과 같이 주변부의 플라즈마의 농도가 많다고 하는 경향이 억제되어, 균일한 플라즈마가 발생된다는 것을 도 9에서 명확히 알 수 있다.
이와 같이, 선행기술이 도 16에 도시된 플라즈마 처리장치와 비교하여 플라즈마의 균일성이 개선된 원인은, 다음과 같이 생각할 수 있다. 안테나(13)에서부터 방사된 전자기파는 플라즈마 트랩(9)에서 강하게 된다. 또한, 낮은 전자온도의 플라즈마에서는 중공 캐소오드 방전이 발생하기 쉬운 경향이 있기 때문에, 고체표면으로 둘러싸인 플라즈마 트랩(9)에 의해 고밀도의 플라즈마(중공 캐소오드 방전)가 발생되기 쉽다. 따라서, 진공용기(1) 내에서는, 플라즈마 밀도가 플라즈마 트랩(9)에서 가장 높게 되어, 확산에 의해 기판(7) 근처까지 플라즈마가 운송되기 때문에, 균일한 플라즈마를 얻을 수 있게 된다.
상기에서 설명한 본 발명의 제7실시예에 있어서는, 플라즈마 트랩(9)이 유전체 창(14)에 설치된 경우에 관해서 설명하였다. 그러나, 플라즈마 트랩(9)은 도 10의 제8실시예에 도시된 바와 같이, 세면, 즉 진공용기(1)의 상부벽(1a)으로 된 내측면과, 상부면과, 외측면으로 그 경계가 정해지도록 유전체 창(14)의 외측에 설치할 수 있다. 또한, 플라즈마 트랩(9)은 도 11의 제9실시예에 도시되어 있듯이, 세면, 즉, 유전체 창(14)으로 된 내측면과, 진공용기(1)의 상부벽(1a)으로 된 상부면과 외측면으로 그 경계가 정해지도록 진공용기(1)와 유전체 창(14) 사이에 설치될 수 있다.
상기에서 설명한 본 발명의 실시예에 있어서는, 본 발명의 적용 범위 중, 진공용기(1)의 형상과, 대향 전극(6) 또는 안테나(13)의 형상 및 배치와, 유전체(14)의 형상 및 배치와, 플라즈마 트랩(9)의 형상 및 배치에 관한 다양한 변형들 중 일부를 예시한 것일 뿐이다. 본 발명은 여기에서 예시한 것 이외에도 다양한 방식으로 적용할 수 있다는 것을 말할 필요도 없다. 예컨대, 본 발명의 실시예에 있어서는, 대향 전극(6)이 원형인 경우에 관해서 설명하였지만, 다각형, 타원형 등 기타의 형상으로 구성할 수 있다. 마찬가지로, 안테나(13)가 나선형인 경우에 관해서 설명하였지만, 평판형, 스포크(spoke) 형 등 다른 형상으로 구성할 수도 있다. 또한, 도 12에 도시되어 있듯이, 공동(空洞) 공진기(15)를 구비한 표면파 플라즈마 처리장치에 있어서, 공동 공진기(15)를 안테나로 하여 본 발명을 적용할 수도 있다. 또한, 도 13에 도시되어 있듯이, 공동 공진기(15)와 슬롯 안테나(16)를 구비한 표면파 플라즈마 처리장치에 있어서도, 본 발명을 적용할 수도 있다.
상기에서 설명한 본 발명의 실시예들에 있어서, 플라즈마 트랩(9)이 환형상인 경우에 관해서 설명하였지만, 기판(7)의 형상에 따라서, 플라즈마 트랩(9)의 형상을 다각형, 타원형 등의 다른 형상으로도 할 수 있다. 또한, 도 14의 평면도로 도시되어 있듯이, 플라즈마 트랩을 폐쇄된 환형이 아니고, 분할되었지만 전체적으로 환형을 이루는 형상에도 적용할 수 있다. 도 8, 10 및 11 등의 플라즈마 트랩(9)의 다양한 구성을 도 12와 13의 장치에 적용할 수 있다.
또한, 상기에서 설명한 본 발명의 제1또는 제7실시예에 있어서, 대향 전극 (6) 또는 안테나(13)에 100 MHz의 고주파전력을 공급하는 경우에 관해서 설명하였지만, 주파수는 이에 한정되지 않고, 50 MHz 내지 3 GHz의 주파수를 사용하는 플라즈마 처리장치 및 방법에 있어서도, 본 발명은 효과적이다.
또한, 상기에서 설명한 본 발명의 제1내지 제7실시예 각각에 있어서, 진공용기(1)의 내벽면을 구성하는, 기판(7)에 대향하는 표면들 중, 플라즈마 트랩(9)으로 둘러싸이는 부분의 면적이, 기판(7)의 면적의 0.8배인 경우에 관해서 설명하였지만, 이 부분의 면적을 기판(7)의 면적의 0.5 내지 2.5배로 하는 것도 바람직하다. 만일, 이 부분의 면적이 기판(7)의 면적의 0.5배 보다 작은 경우에는, 기판(7)과 플라즈마 트랩(9)과의 거리를 충분히 분리하여도, 기판(7) 근처에서 균일한 플라즈마를 얻기가 어렵다. 또한, 이 부분의 면적이 기판(7) 면적의 2.5배를 초과하는 경우에는, 기판(7) 근처에서 균일한 플라즈마를 얻기 위해 기판(7)과 플라즈마 트랩(9) 간의 간격을 극도로 크게 할 필요가 있다. 이는, 장치의 대형화를 초래하고, 또한 전공용기(1)의 내부를 저압으로 유지하기 위해 펌프(3)에 과도한 부하가 가해지게 된다. 예컨대, 기판의 직경이 300 mm이고, 또한 플라즈마 트랩(9)의 직경이 200 mm인 경우에는, 플라즈마 트랩에 의해 둘러싸이는 이 부분의 면적은 기판 면적의 0.5배이다. 또한, 기판의 직경이 300 mm이고, 또한 플라즈마 트랩의 직경이 300 mm인 경우에는, 플라즈마 트랩에 의해 둘러싸이는 이 부분의 면적은 기판 면적의 2.5배이다.
또한, 상기에서 설명한 본 발명의 제1내지 제7실시예들 각각에 있어서, 플라즈마 트랩(9)의 홈폭이 10 mm인 경우에 관해서 설명하였지만, 플라즈마 트랩(9)의 홈폭은, 3 mm 내지 50 mm의 범위 내에 있는 것이 바람직하다. 만일 홈폭이 3 mm보다 작거나, 또는 50 mm를 초과하는 경우에는, 플라즈마 트랩(9)에서 중공 캐소오드 방전이 발생하지 않을 수도 있다.
또한, 상기에서 설명한 실시예들 각각에 있어서, 플라즈마 트랩(9)의 홈의 형상을, 직사각형의 단면형상인 것으로 설명하였지만, U형, V형 또는 직사각형, U형, V형의 조합인 형상으로 할 수 있다.
또한, 상기에서 설명한 본 발명의 제1내지 제7실시예들 각각에 있어서, 플라즈마 트랩(9)의 홈깊이가 15 mm인 경우에 관해서 설명하였지만, 플라즈마 트랩(9)의 홈폭이 5 mm 이상인 것도 바람직하다. 만일 홈폭이 5 mm 미만인 경우에는, 중공 캐소오드 방전이 발생하지 않을 가능성이 있다.
도 4와 10에 도시된 본 발명의 제3실시예의 플라즈마 처리장치에 있어서, 플라즈마 트랩(9)에 의해 둘러싸이는 면적이 기판(7)의 면적보다 큰 경우도 적용할 수 있다. 이 경우에 있어서, CF4가스, C2F6가스, C4F8가스, C5F8가스 등의 퍼-플루오로카본(per-fluorocarbon) 또는 CHF3가스, CH2F2가스 등의 하이드로-플루오로카본( hydro-fluorocarbon) 등을 사용하는 것이 적합하다.
한편, 도 27에 도시된, 제3실시예의 변형인 플라즈마 처리장치와 도 28에 도시된, 제8실시예의 변형인 플라즈마 처리장치에 있어서, 플라즈마 트랩(9)에 의해 둘러싸이는 면적이 기판(7)의 면적 보다 크지 않은 경우도 적용할 수 있다. 이 경우에 있어서, HBr가스와 같은 붕소기(Boron-based) 가스 또는 Cl2가스, BCl3가스, HCl가스 등의 염소기(chlorin-based) 가스를 사용하는 것이 적합하다.
플라즈마 트랩에 의해 둘러싸이는 면적에 따라 사용가스가 적용되는 한 예로서 본 발명을 설명하였지만, 사용가스의 최상 선택은 이에 한정되지 않고, 압력, 전력, 혼합가스 등을 참고하여 결정할 수 있다는 것을 명심해야 한다. 이는, 사용 가스의 최상 선택은 압력, 전력, 혼합가스 등의 조건들에 따라 다르기 때문이다.
또한, 상기에서 설명한 본 발명의 실시예에 있어서, 진공용기(1)에 DC 자기장이 없는 경우에 관해서 설명하였지만, 본 발명은, 고주파수 전력이 플라즈마를 투과하도록 할 정도로 큰 DC 자기장이 없는 경우, 예컨대 수십 가우스 정도의 작은 DC 자기장을 가연성(ignitability) 개선을 위해 사용하는 경우에도 효과적이다. 또한, 본 발명은, 진공용기(1)에 DC 자기장이 없는 경우들에 대해서도 특히 효과적이다.
상기 설명으로부터 명확히 알 수 있듯이, 진공용기 내에 플라즈마를 발생하고 또한 진공용기 내 기판 전극 위에 재치된 기판을 처리하는, 본 발명의 플라즈마 처리방법에 있어서, 방법은, 진공용기 내에 가스를 도입함과 동시에 진공용기의 내부를 배기함으로써 진공용기의 내부를 소정의 압력으로 유지하면서 50 MHz 내지 3 GHz의 주파수를 가지는 고주파수 전력을 기판에 대향하여 설치된 대향 전극에 인가하여 플라즈마를 발생시키는 단계와, 기판 상의 플라즈마 분포를 기판에 대향하여 설치된 환형이면서 홈형인 플라즈마 트랩으로 제어하면서, 상기에서 발생한 플라즈마를 사용하여 기판을 처리하는 단계를 포함한다. 그러므로, 기판 상의 플라즈마 분포를, 기판에 대향하여 설치된 환형이면서 홈형인 플라즈마 트랩으로 제어하면서 기판을 처리하기 때문에, 균일한 플라즈마가 발생하여 기판을 균일하게 처리할 수 있다.
또한, 진공용기 내에 플라즈마를 발생시키고 또한 진공용기 내의 기판 전극 상에 재치된 기판을 처리하는 본 발명의 플라즈마 처리방법에 있어서, 방법은, 진공용기 내에 가스를 도입하면서 동시에 진공용기의 내부를 배기함으로써 진공용기의 내부를 소정의 압력으로 제어하면서, 기판에 대향하여 설치된 유전체 창을 통해 50 MHz 내지 3 GHz의 주파수를 가지는 고주파수 전력을 안테나에 공급하여 진공용기에 전자기파를 방사함으로써 플라즈마를 발생시키는 단계와, 기판에 대향하여 설치된, 환형이면서 홈형인 플라즈마 트랩으로 기판 상의 플라즈마 분포를 제어하면서, 상기에서 발생한 플라즈마를 사용하여 기판을 처리하는 단계를 포함한다. 이 방법에 있어서, 기판에 대향하여 설치된, 환형이면서 홈형인 플라즈마 트랩으로 기판 상의 플라즈마 분포를 제어하면서 기판을 처리한다면, 균일한 플라즈마 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한, 본 발명의 플라즈마 처리장치는, 진공용기와, 진공용기에 가스를 공급하는 가스공급장치와, 진공용기의 내부를 배기하는 배기장치와, 진공용기 내에서 그 위에 기판을 재치하는 기판 전극과, 기판 전극에 대향하여 설치된 대향 전극과,50 MHz 내지 3 GHz의 주파수를 가지는 고주파수 전력을 대향 전극에 공급할 수 있는 고주파수 전원과, 기판에 대향해 설치되고 또한 환형이면서 홈형인 플라즈마 트랩을 포함한다. 그러므로, 균일한 플라즈마 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한 본 발명의 플라즈마 처리장치는, 진공용기와, 진공용기에 가스를 공급하는 가스공급장치와, 진공용기의 내부를 배기하는 배기장치와, 진공용기 내에서 그 위에 기판을 재치하는 기판 전극과, 기판 전극에 대향하여 설치된 유전체 창과, 유전체 창을 통해 진공용기에 전자기파를 방사하는 안테나와, 50 MHz 내지 3 GHz의 주파수를 가지는 고주파수 전력을 공급할 수 있는 고주파수 전원과, 기판에 대향하여 설치되고 또한 환형이면서 홈형인 플라즈마 트랩을 포함한다. 그러므로, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
지금부터, 도 19와 20을 참조하여 본 발명의 제10실시예를 설명한다.
도 19는 본 발명의 제10실시예에서 채용한 플라즈마 처리장치의 단면도를 보여준다. 도 19에 있어서, 가스공급장치(102)에서부터 진공용기(101) 내로 소정의 가스를 공급하면서, 배기장치로서의 펌프(103)로 배기를 행하여 진공용기(101)의 내부를 소정의 압력으로 유지하면서, 대향 전극용 고주파전원으로 100 MHz의 고주파전력을, 정합기(matching box)(105)와 고주파 결합기(마운트)(106)를 통해 대향 전극(107)에 공급함으로써 진공용기(101) 내에 플라즈마를 발생시켜, 기판 전극(108) 상에 재치된 기판(109)에 대하여 에칭, 퇴적, 표면개질 등의 플라즈마 처리를 행할 수 있다. 또한, 기판 전극(108)에 고주파전력을 공급하기 위한 기판전극용 고주파전원(110)이 설치되어, 기판(109)에 도달하는 이온 에너지를 제어할 수 있게 된다. 이외에도, 절연링(111)에 의해 기판 전극(107)이 진공용기(101)와 절연된다.
부하로서의 대향 전극(107)에 고주파전력을 공급할 때에, 임피턴스를 정합시키는데 사용하는 정합기(105)는, 고주파 입력단자(112)와, 제1가변 콘덴서(113)와, 고주파 출력단자(114)와, 제2가변 콘덴서(115)와, 제1모터(116)와, 제2모터(117)와, 모터제어회로(118)를 포함한다. 제1가변 콘덴서(113)의 하나의 단말은 고주파 출력단자(114)에 접속되고 다른 하나의 단말은 정합기 케이싱(105a)에 연결되고, 제2가변 콘덴서(115)의 하나의 단말은 고주파 입력단자(112)에 접속되고 다른 하나의 단말은 고주파 출력단자(114)에 접속된다. 또한, 제2가변 콘덴서(115)의 중심축을 이루는 직선과, 고주파 출력단자(114)의 중심축을 이루는 직선과, 고주파 결합기(마운트)(106)의 중심축을 이루는 직선과, 대향 전극(107)의 중심축을 이루는 직선과, 기판(109)의 중심축을 이루는 직선은 일치하도록 배치된다. 또한, 제2가변 콘덴서(115)의 중심축을 이루는 직선과 제1가변 콘덴서(113)의 중심축을 이루는 직선이 서로 일치하도록, 제1가변 콘덴서(113)와 제2가변 콘덴서(115)가 배치된다. 또한, 제2가변 콘덴서(115)의 다른 하나의 단말에서부터 대향 전극(107)까지의 실제적 거리(19)는 고주파전력의 파장(3m)의 1/15(20cm)이다.
도 20은 기판(109) 바로 위 20 mm의 위치에서 측정한, 이온 포화 전류밀도의 결과를 보여준다. 플라즈마의 발생조건은, 가스종과 가스압력이 Cl2=100 sccm이고,가스압력이 2Pa이고, 고주파전력이 1kW이다. 또한, 도 19는 도 20의 측정 위치를 보여준다. 도 20으로부터, 도 25에 도시되어 있는 바와 같이, 측정위치의 일측에서 플라즈마 밀도가 높은 플라즈마의 불균일이 보이지 않는다는 것을 알 수 있다.
이와 같이, 선행기술인 도 24에 도시된 플라즈마 처리장치와 비교하여 플라즈마의 불균일성이 개선된 원인은 다음과 같이 생각할 수 있다. 50 MHz 이상의 고주파전력을 사용한 경우에, 정합기(105) 내의 제2가변 콘덴서(115)의 배치에 의한 영향을 받아, 대향 전극(107)에 전위분포가 발생한다. 그러나, 본 발명의 제10실시예에 있어서, 제2가변 콘덴서(115)의 중심축을 이루는 직선과, 고주파 출력단자 (114)의 중심축을 이루는 직선과, 고주파 결합기(마운트)(106)의 중심축을 이루는 직선과, 대향 전극(107)의 중심축을 이루는 직선과, 기판(109)의 중심축을 이루는 직선이 거의 일치하도록 배치되어 있기 때문에, 대향 전극(107) 상에서 발생한 전위분포는 동심이 된다. 그 결과, 진공용기(101) 내의 전기장도 동심이 되어, 플라즈마의 균일성을 개선할 수 있게 된다.
상기에서 설명한 본 발명의 제10실시예에 있어서, 플라즈마를 발생시키기 위해 대향 전극(107)을 사용하는 경우에 관해서 설명하였지만, 도 21에 도시된 본 발명의 제11실시예와 같이, 나선형 안테나(120)를 이용한 경우에도 본 발명은 유효하다. 이외에도, 도 21에 도시된 본 발명의 제11실시예에서는, 유전체 창(121)을 이용한다.
또한, 상기에서 설명한 본 발명의 제10 및 11실시예에 있어서, 본 발명의 적용 범위 중, 진공용기(101)의 형상과, 대향 전극(107) 또는 안테나(120)의 형상,유전체(121)의 형상 및 배치 등에 관한 여러 가지 변형 중의 일부를 도시한 것에 불과하다. 여기에서 예시된 것 이외에도 여러 가지 변형을 고려할 수 있다는 것을 말할 필요도 없다. 예컨대, 본 발명의 제10실시예에 있어서는, 대향 전극(107)이 원형인 경우에 관해서 설명하였지만, 다각형, 타원형 등의 다른 형상으로 구성할 수 있다.마찬가지로, 안테나(120)가 나선형인 경우에 관해서 설명하였지만, 평판형, 스포크형 등의 다른 형상으로 구성할 수 있다.
또한, 상기에서 설명한 본 발명의 제10 및 11실시예에 있어서, 대향 전극 (107) 또는 안테나(120)에 100 MHz의 고주파전력을 공급하는 경우에 관해서 설명하였지만, 주파수는 여기에 한정되지 않고, 50 MHz 내지 300 MHz의 주파수를 이용한 경우에도 본 발명은 유효하다. 만일 주파수가 50 MHz 보다 낮은 경우에는, 본 발명을 적용하여도 플라즈마의 균일성을 용이하게 얻을 수 있다. 또한, 주파수가 300 MHz 보다 높은 경우에는, 2개의 가변 콘덴서를 사용하여 임피던스를 정합시키기가 어렵게 되어, 스터브(stub)로 임피던스를 정합시킬 필요가 있다.
또한, 상기에서 설명한 본 발명의 제10 및 11실시예에 있어서, 제2가변 콘덴서의 중심축을 이루는 직선과 제1가변 콘덴서의 중심축을 이루는 직선이 거의 일치하도록, 제1가변 콘덴서와 제2가변 콘덴서가 배치되어 있는 경우에 관해서 설명하였지만, 대향 전극(107)에서 발생한 전위분포는 주로 제2가변 콘덴서의 배치에 의한 영향을 받기 때문에, 도 22에 도시된 본 발명의 제12실시예와 같이, 제2가변 콘덴서(115)의 중심축을 이루는 직선과 제1가변 콘덴서(113)의 중심축을 이루는 직선이 일치하지 않는 경우에도, 선행기술에 비교하면, 플라즈마의 균일성이 크게 개선된다. 도 22에 도시된 것과 같은 구성은, 정합기를 소형화한 경우에 유효한 것이고, 본 발명의 적용범위에 포함된다.
또한, 상기에서 설명한 본 발명의 제12실시예에 있어서, 가변 콘덴서를 가지는 정합기의 경우에 관해서 설명하였지만, 가변 인덕터, 고정 콘덴서, 또는 고정 인덕터와 같은 리액턴스 소자(reactive element)를 가지는 정합기에서도 마찬가지의 효과를 가진다.
또한, 상기에서 설명한 본 발명의 제12실시예에 있어서, 가변 콘덴서(115)의 다른 하나의 단말과 고주파 출력단자가 개별적인 부재인 경우에 관해서 설명하였지만, 도 23에 도시된 본 발명의 제13실시예와 같이, 고주파 출력단자(114)가 제2가변 콘덴서(115)의 다른 하나의 단말로서 설치될 수 있다.
또한 상기에서 설명한 본 발명의 제10실시예에 있어서, 제2가변 콘덴서(115)의 다른 하나의 단말에서부터 대향 전극(107)까지의 실질적 거리가, 고주파전력의 파장의 1/15인 경우에 관해서 설명하였지만, 제2가변 콘덴서(115)의 다른 하나의 단말에서부터 대향 전극(107) 또는 안테나(120)까지의 실질적 거리는, 고주파전력의 파장의 1/10 이하인 것이 바람직하다. 만일 제2가변 콘덴서(115)의 다른 하나의 단말에서부터 대향 전극(107) 또는 안테나까지의 실질적 거리가, 고주파전력의 파장의 1/10 보다 크면, 제2가변 콘덴서(115)의 다른 하나의 단말에서부터 대향 전극(107) 또는 안테나까지의 인덕턴스가 너무 크게 되기 때문에, 2개의 가변 콘덴서를 사용하여 인덕턴스를 정합시키는 것이 어려워진다.
상기 실시예들에 있어서, 실시예들 중 어느 것도 다른 어느 것과 결합할 수있다. 예컨대, 도 29는 플라즈마 처리장치의 구성을 보여주는 단면도로서, 도 19에 도시된 본 발명의 제10실시예의 플라즈마 처리장치와 도 27에 도시된 본 발명의 제13실시예의 플라즈마 처리장치가 서로 결합되어 있다. 도 30도 플라즈마 처리장치의 단면도로서, 도 21에 도시된 본 발명의 제11실시예의 플라즈마 처리장치와 도 28에 도시된 본 발명의 제8실시예의 변형인 플라즈마 처리장치가 서로 결합되어 있다. 상기와 같은 결합은, 결합된 실시예들의 효과 모두를 이룰 수 있다.
상기의 설명으로부터 명확히 알 수 있듯이, 플라즈마 처리장치에 사용되고 또한 부하에 고주파전력을 공급할 때에 임피던스를 정합시키는, 본 발명의 정합기는, 고주파 입력단자와, 하나의 단말은 고주파 입력단자에 접속되고 다른 하나의 단말이 정합기 케이싱에 접속되는 제1리액턴스 소자와, 고주파 출력단자와, 하나의 단말은 고주파 입력단자에 접속되고 다른 하나의 단말이 고주파 출력단자에 접속된 제2리액턴스 소자를 구비하고, 고주파 출력단자의 중심축을 통과하는 직선 상에 제2리액턴스 소자가 위치하도록 제2리액턴스 소자와 고주파 출력단자가 위치되어 있기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한, 플라즈마 처리장치에서 사용하고 또한 부하에 고주파전력을 공급할 때에 임피던스를 정합시키는데 사용하는 본 발명의 정합기에 있어서, 정합기는, 고주파 입력단자와, 하나의 단말은 고주파 입력단자에 접속되고 다른 하나의 단말이 정합기 케이싱에 접속된 제1가변 콘덴서와, 고주파 출력단자와, 하나의 단말은 고주파 입력단자에 접속되고 다른 하나의 단말이 고주파 출력단자에 접속된 제2가변 콘덴서를 구비하고, 고주파 출력단자의 중심축을 통과하는 직선 상에 제2가변 콘덴서가 위치하도록, 제2가변 콘덴서와 고주파 출력단자가 배치되어 있기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한, 진공용기 내에 플라즈마를 발생시키고 또한 진공용기 내에서 기판 전극 상에 재치된 기판을 처리하는 본 발명의 플라즈마 처리방법에 있어서, 방법은, 고주파 결합기의 중심축을 통과하는 직선과, 대향 전극 또는 안테나의 중심축을 통과하는 직선과 기판의 중심축을 통과하는 직선이 거의 일치하도록 배치하는 단계와, 진공용기에 가스를 도입하면서 동시에 진공용기의 내부를 배기함으로써 진공용기의 내부를 소정의 압력으로 제어하는 단계와, 청구항 28항에 규정된 것과 같은 정합기와 정합기의 고주파 출력단자와 대향 전극 또는 안테나를 서로 연결시키기 위해 설치된 고주파 결합기를 통해, 기판에 대향하여 설치된 대향 전극 또는 안테나에 50 MHz 내지 300 MHz의 주파수를 가지는 고주파전력을 인가하여 플라즈마를 발생시키는 단계와, 상기에서 발생한 플라즈마를 사용하여 기판을 처리하는 단계를 가지기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한, 진공용기 내에 플라즈마를 발생시키고 또한 진공용기 내에서 기판 전극 상에 재치된 기판을 처리하는 본 발명의 플라즈마 처리방법에 있어서, 방법은, 고주파 결합기의 중심축을 통과하는 직선과, 대향 전극 또는 안테나의 중심축을 통과하는 직선과 기판의 중심축을 통과하는 직선을 거의 일치하도록 배치하는 단계와, 진공용기에 가스를 도입하면서 동시에 진공용기의 내부를 배기함으로써 전공용기의 내부를 소정의 압력으로 제어하는 단계와, 청구항 33항에 규정된 것과 같은정합기와 정합기의 고주파 출력단자와 대향 전극 또는 안테나를 서로 접속하기 위해 설치된 고주파 결합기를 통해 대향 전극 또는 안테나에 50 MHz 내지 300 MHz의 주파수를 가지는 고주파전력을 인가함으로써 플라즈마를 발생시키는 단계와, 상기에서 발생한 플라즈마를 사용하여 기판을 처리하는 단계를 포함하기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한 플라즈마 처리장치는, 진공용기와, 진공용기에 가스를 공급하는 가스공급장치와, 진공용기의 내부를 배기하는 배기장치와, 진공용기 내에서 그 위에 기판을 재치하는 기판 전극과, 기판 전극에 대향하여 설치된 대향 전극 또는 안테나와, 50 MHz 내지 300 MHz의 주파수를 가지는 고주파전력을 대향 전극 또는 안테나에 공급할 수 있는 고주파전원과, 제28특징에 규정된 것과 같은 정합기와, 정합기의 고주파 출력단자와 대향 전극 또는 안테나를 서로 접속하는 고주파 결합기를 구비하고, 고주파 결합기의 중심축을 통과하는 직선과, 대향 전극 또는 안테나의 중심축을 통과하는 직선과 기판의 중심축을 통과하는 직선들을 거의 일치하도록 배치하기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
또한 플라즈마 처리장치는, 진공용기와, 진공용기에 가스를 공급하는 가스공급장치와, 진공용기의 내부를 배기하는 배기장치와, 진공용기 내에서 그 위에 기판을 재치하는 기판 전극과, 기판 전극에 대향하여 설치된 대향 전극 또는 안테나와, 50 MHz 내지 300 MHz의 주파수를 가지는 고주파전력을 대향 전극 또는 안테나에 공급할 수 있는 고주파전원과, 제33특징에 규정된 것과 같은 정합기와, 정합기의 고주파 출력단자와 대향 전극 또는 안테나를 서로 접속시키는 고주파 결합기를 구비하고, 고주파 결합기의 중심축을 통과하는 직선과, 대향 전극 또는 안테나의 중심축을 통과하는 직선과, 기판의 중심축을 통과하는 직선들을 거의 일치하도록 배치하기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.
첨부도면을 참조하여 본 발명의 바람직한 실시예들과 관련해 본 발명을 충분히 설명하였다 하더라도, 다양한 수정과 변형들이 있을 수 있다는 것을 본 기술분야의 당업자라면 잘 알 것이다. 이러한 변형과 수정들은, 첨부된 청구범위로 규정된 본 발명의 범위를 벗어나지 않는 한은 본 발명의 범위내에 포함되는 것을 파악하여야 한다.
플라즈마 처리장치에 사용되고 또한 부하에 고주파전력을 공급할 때에 임피던스를 정합시키는, 본 발명의 정합기는, 고주파 입력단자와, 하나의 단말은 고주파 입력단자에 접속되고 다른 하나의 단말이 정합기 케이싱에 접속되는 제1리액턴스 소자와, 고주파 출력단자와, 하나의 단말은 고주파 입력단자에 접속되고 다른 하나의 단말이 고주파 출력단자에 접속된 제2리액턴스 소자를 구비하고, 고주파 출력단자의 중심축을 통과하는 직선 상에 제2리액턴스 소자가 위치하도록 제2리액턴스 소자와 고주파 출력단자가 위치되어 있기 때문에, 균일한 플라즈마가 발생하여, 기판을 균일하게 처리할 수 있게 된다.

Claims (54)

  1. 진공 용기내에서 플라즈마를 생성시키고 진공 용기내의 기판 전극위에 배치된 기판을 처리하는 플라즈마 처리방법에 있어서:
    진공 용기 내부에 가스를 주입하고, 이와 동시에 진공 용기 내부를 배기함으로써, 진공 용기 내부를 소정의 압력으로 제어하면서, 50 MHz부터 3 GHz의 주파수를 갖는 고주파 전력을, 기판에 대향하여 구성되는 대향 전극에 공급함으로써 플라즈마를 생성시키는 단계; 및
    기판에 대향하여 구성되는 환상(環狀)의 홈으로 된 플라즈마 트랩(trap)에 의해 기판상의 플라즈마 분포를 제어하면서, 생성된 플라즈마를 사용하여 기판을 처리하는 단계를 포함하는 플라즈마 처리방법.
  2. 진공 용기내에서 플라즈마를 생성시키고 진공 용기내의 기판 전극위에 배치된 기판을 처리하는 플라즈마 처리방법에 있어서:
    진공 용기내에 가스를 주입하고, 또한 이와 동시에 진공 용기 내부를 배기함으로써 진공 용기 내부를 소정의 압력으로 제어하면서, 50 MHz부터 3 GHz의 주파수를 갖는 고주파 전력을 안테나에 공급하여, 기판에 대향하여 구성되는 유전체 창을 통하여 진공 용기내에 전자파를 방사함으로써 플라즈마를 생성시키는 단계; 및
    기판에 대향하여 구성되는 환상(環狀)의 홈으로 된 플라즈마 트랩(trap)에 의해 기판상의 플라즈마 분포를 제어하면서, 생성된 플라즈마를 사용하여 기판을 처리하는 단계를 포함하는 플라즈마 처리방법.
  3. 제1항에 있어서, 진공 용기의 내벽면을 형성하고 기판에 대향하는 표면 중 플라즈마 트랩에 의해 둘러싸인 부분의 면적이 기판 면적의 0.5배 내지 2.5배인 것을 특징으로 하여 기판을 처리하는 플라즈마 처리방법.
  4. 제1항에 있어서, 플라즈마 트랩의 홈의 폭이 3 mm 내지 50 mm인 것을 특징으로 하여 기판을 처리하는 플라즈마 처리방법.
  5. 제1항에 있어서, 플라즈마 트랩의 홈의 깊이가 5 mm 이상인 것을 특징으로 하여 기판을 처리하는 플라즈마 처리방법.
  6. 제1항에 있어서, 플라즈마 트랩이 대향 전극에 형성되어 있는 것을 특징으로 하여 기판을 처리하는 플라즈마 처리방법.
  7. 제1항에 있어서, 진공 용기와 대향 전극을 서로 절연시키는 절연 링의 바깥쪽에 플라즈마 트랩을 형성하는 것을 특징으로 하여 플라즈마를 생성시키는 플라즈마 처리방법.
  8. 제1항에 있어서, 진공 용기와 대향 전극을 서로 절연시키는 절연 링 및 대향 전극의 사이에 플라즈마 트랩을 형성하는 것을 특징으로 하여 플라즈마를 생성시키는 플라즈마 처리방법.
  9. 제1항에 있어서, 진공 용기와 대향 전극을 서로 절연시키는 절연 링 및 진공 용기의 사이에 플라즈마 트랩을 형성하는 것을 특징으로 하여 플라즈마를 생성시키는 플라즈마 처리방법.
  10. 제2항에 있어서, 플라즈마 트랩을 유전체 창에 형성하는 것을 특징으로 하여 플라즈마를 생성시키는 플라즈마 처리방법.
  11. 제2항에 있어서, 플라즈마 트랩이 유전체 창의 바깥쪽에 형성되는 것을 특징으로 하여 플라즈마를 생성시키는 플라즈마 처리방법.
  12. 제2항에 있어서, 플라즈마 트랩이 진공 용기와 유전체 창 사이에 형성되는 것을 특징으로 하여 플라즈마를 생성시키는 플라즈마 처리방법.
  13. 제1항에 있어서, 진공 용기내에서 DC 자기장을 배제시키는 것을 특징으로 하여 플라즈마를 생성하는 플라즈마 처리방법.
  14. 진공 용기;
    진공 용기내에 가스를 공급하는 가스 공급 장치;
    진공 용기의 내부를 배기하는 진공 장치;
    진공 용기내에서 기판을 그 위에 배치하는 기판 전극;
    기판 전극에 대향해서 설치되는 대향 전극;
    50 MHz에서 3 GHz까지의 주파수를 갖는 고주파 전력을 대향 전극에 공급할 수 있는 고주파 전원; 및
    기판에 대향하여 설치되는 환상의 홈으로 된 플라즈마 트랩을 포함하는 플라즈마 처리장치.
  15. 진공 용기;
    진공 용기내에 가스를 공급하는 가스 공급 장치;
    진공 용기의 내부를 배기하는 진공 장치;
    진공 용기내에서 기판을 그 위에 배치하는 기판 전극;
    기판 전극에 대향하여 설치되는 유전체 창;
    유전체 창을 통하여 진공 용기 안에 전자파를 방사하는 안테나;
    50 MHz에서 3 GHz까지의 주파수를 갖는 고주파 전력을 안테나에 공급할 수 있는 고주파 전원; 및
    기판에 대향하여 설치되는 환상의 홈으로 된 플라즈마 트랩을 포함하는 플라즈마 처리장치.
  16. 제14항에 있어서, 진공 용기의 내벽면을 형성하고 기판에 대향하는 표면 중 플라즈마 트랩에 의해 둘러싸인 부분의 면적이 기판 면적의 0.5배 내지 2.5배인 것을 특징으로 하는 플라즈마 처리장치.
  17. 제14항에 있어서, 플라즈마 트랩의 홈의 폭이 3 mm 내지 50 mm인 것을 특징으로 하는 플라즈마 처리장치.
  18. 제14항 또는 제15항에 있어서, 플라즈마 트랩의 홈의 깊이가 5 mm 이상인 것을 특징으로 하는 플라즈마 처리장치.
  19. 제14항에 있어서, 플라즈마 트랩이 대향 전극에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  20. 제14항에 있어서, 플라즈마 트랩이 진공 용기와 대향 전극을 서로 절연하기 위한 절연 링에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  21. 제14항에 있어서, 플라즈마 트랩이 진공 용기와 대향 전극을 서로 절연하기 위한 절연 링 바깥쪽에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  22. 제14항에 있어서, 플라즈마 트랩이 진공 용기와 대향 전극을 서로 절연하기 위한 절연 링 및 대향 전극의 사이에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  23. 제14항에 있어서, 플라즈마 트랩이 진공 용기와 대향 전극을 서로 절연하기 위한 절연 링 및 진공 용기의 사이에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  24. 제15항에 있어서, 플라즈마 트랩이 유전체 창에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  25. 제15항에 있어서, 플라즈마 트랩이 유전체 창의 바깥쪽에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  26. 제15항에 있어서, 플라즈마 트랩이 진공 용기와 유전체 창 사이에 설치되는 것을 특징으로 하는 플라즈마 처리장치.
  27. 제14항에 있어서, DC 자기장을 인가하기 위한 코일 또는 영구 자석을 진공 용기 안에 구비하지 않는 것을 특징으로 하는 플라즈마 처리장치.
  28. 제14항 또는 제15항에 있어서, 플라즈마 처리장치 안에서 사용하기 위한, 또 부하(負荷)에 고주파 전력을 공급함에 있어 임피던스를 정합시키기 위한 정합기를 더 포함하며,
    상기 정합기는,
    고주파 입력 단자;
    하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 정합기 케이싱에 접속되는 제1리액턴스 소자;
    고주파 출력 단자; 및
    하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 고주파 출력 단자에 접속되는 제2리액턴스 소자를 포함하며,
    제2리액턴스 소자가 고주파 출력 단자의 중심축을 통과하는 직선상에 위치하도록 제2리액턴스 소자 및 고주파 출력 단자가 배열되는 것을 특징으로 하는 플라즈마 처리장치.
  29. 제28항에 있어서, 제1리액턴스 소자 및 제2리액턴스 소자는 각각 콘덴서인 것을 특징으로 하는 플라즈마 처리장치.
  30. 플라즈마 처리장치에서 사용되고 부하(負荷)에 고주파 전력을 공급함에 있어 임피던스를 정합시키는 정합기에 있어서:
    고주파 입력 단자;
    하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 정합기 케이싱에 접속되는 제1리액턴스 소자;
    고주파 출력 단자; 및
    하나의 단말은 고주파 입력 단자에 접속되고 다른 하나의 단말은 고주파 출력 단자에 접속되는 제2리액턴스 소자를 포함하며,
    제2리액턴스 소자가 고주파 출력 단자의 중심축을 통과하는 직선상에 위치하도록 제2리액턴스 소자와 고주파 출력 단자를 배열하는 것을 특징으로 하는 플라즈마 처리장치용 정합기.
  31. 제30항에 있어서, 제2리액턴스 소자의 중심축을 통과하는 직선과 고주파 출력 단자의 중심축을 통과하는 직선이 통상적으로 서로 일치하도록 제2리액턴스 소자와 고주파 출력 단자를 배열하는 것을 특징으로 하는 플라즈마 처리장치용 정합기.
  32. 제30항에 있어서, 제1리액턴스 소자와 제2리액턴스 소자는 각각 콘덴서인 것을 특징으로 하는 플라즈마 처리장치용 정합기.
  33. 제30항에 있어서, 제2리액턴스 소자의 중심축을 통과하는 직선과 제1리액턴스 소자의 중심축을 통과하는 직선이 통상적으로 서로 일치하도록 제1리액턴스 소자와 제2리액턴스 소자를 배열하는 것을 특징으로 하는 플라즈마 처리장치용 정합기.
  34. 제30항에 있어서, 고주파 출력 단자는 제2리액턴스 소자 자체의 다른 하나의 단말인 것을 특징으로 하는 플라즈마 처리장치용 정합기.
  35. 진공 용기 내에서 플라즈마를 생성시키고 진공 용기 내의 기판 전극 위에 배치되는 기판을 처리하기 위한 플라즈마 처리방법에 있어서:
    고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선이 통상적으로 함께 일치하도록 배열하는 단계;
    진공 용기 내에 가스를 주입함과 동시에 진공 용기 내부를 배기함으로써 진공 용기의 내부를 소정의 압력으로 제어하는 단계;
    제30항에서 정의된 정합기를 통하여 기판에 대향하여 설치된 대향 전극 또는 안테나에, 및 대향 전극 또는 안테나와 정합기의 고주파 출력 단자를 서로 접속하도록 설치된 고주파 결합기에, 50 MHz부터 300 MHz까지의 주파수의 고주파 전력을 인가함으로써 플라즈마를 생성시키는 단계; 및
    생성된 플라즈마를 사용하여 기판을 처리하는 단계를 포함하는 플라즈마 처리방법.
  36. 제35항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    고주파 출력 단자의 중앙 축을 통과하는 직선과 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열하는 단계를 더 포함하며,
    고주파 출력 단자의 중앙 축을 통과하는 직선과 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  37. 제35항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    제2리액턴스 소자의 중앙 축을 통과하는 직선과 제1리액턴스 소자의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록, 제1리액턴스 소자와 제2리액턴스 소자를 배열하는 단계를 더 포함하며,
    제2리액턴스 소자의 중앙 축을 통과하는 직선과 제1리액턴스 소자의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  38. 제35항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    고주파 출력 단자가 제2리액턴스 소자 자체의 다른 하나의 단말이 되도록 배열하는 단계를 포함하며,
    고주파 출력 단자가 제2리액턴스 소자 자체의 다른 하나의 단말이 되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  39. 제35항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    제2리액턴스 소자의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리를 고주파 전력의 파장의 1/10 이하가 되도록 배열하는 단계를 포함하며,
    제2리액턴스 소자의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하가 되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  40. 진공 용기 내에서 플라즈마를 생성시키고 진공 용기 내의 기판 전극 위에 배치되는 기판을 처리하기 위한 플라즈마 처리방법에 있어서:
    고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선이 통상적으로 함께 일치하도록 배열하는 단계;
    진공 용기 내에 가스를 주입함과 동시에 진공 용기 내부를 배기함으로써 진공 용기의 내부를 소정의 압력으로 제어하는 단계;
    제30항에서 정의되는 정합기를 통하여 기판에 대향하여 설치된 대향 전극 또는 안테나에, 및 대향 전극 또는 안테나와 정합기의 고주파 출력 단자를 서로 접속하도록 설치된 고주파 결합기에, 50 MHz부터 300 MHz까지의 주파수의 고주파 전력을 인가함으로써 플라즈마를 생성시키는 단계; 및
    생성된 플라즈마를 사용하여 기판을 처리하는 단계를 포함하는 플라즈마 처리방법.
  41. 제40항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    고주파 출력 단자의 중앙 축을 통과하는 직선과 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열하는 단계를 더 포함하며,
    고주파 출력 단자의 중앙 축을 통과하는 직선과 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  42. 제40항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    제2가변 콘덴서의 중앙 축을 통과하는 직선과 제1가변 콘덴서의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 제1가변 콘덴서와 제2가변 콘덴서를 배열하는 단계를 더 포함하며,
    제2가변 콘덴서의 중앙 축을 통과하는 직선과 제1가변 콘덴서의 중앙 축을 통과하는 직선이 통상적으로 서로 일치되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  43. 제40항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    고주파 출력 단자가 제2가변 콘덴서 자체의 다른 하나의 단말이 되도록 배열하는 단계를 포함하며,
    고주파 출력 단자가 제2가변 콘덴서 자체의 다른 하나의 단말이 되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  44. 제40항에 있어서, 진공 용기의 내부를 소정의 압력으로 제어하는 단계 전에,
    제2가변 콘덴서의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리를 고주파 전력의 파장의 1/10 이하가 되도록 배열하는 단계를 더 포함하며,
    제2가변 콘덴서의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하가 되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리방법.
  45. 진공 용기;
    진공 용기에 가스를 공급하는 가스 공급 장치;
    진공 용기의 내부를 배기시키는 진공 장치;
    진공 용기 내에서 기판을 그 위에 배치하는 기판 전극;
    기판 전극에 대향해서 구성되는 대향 전극 또는 안테나;
    대향 전극 또는 안테나에 50 MHz부터 300 MHz까지의 주파수를 갖는 고주파 전력을 공급할 수 있는 고주파 전원;
    제30항에서 정의된 정합기; 및
    대향 전극 또는 안테나와 정합기의 고주파 출력 단자를 서로 접속하기 위한 고주파 결합기를 포함하며,
    고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선을 통상적으로 서로 일치하도록 배열하는 것을 특징으로 하는 플라즈마 처리장치.
  46. 제45항에 있어서, 고주파 출력 단자의 중앙 축을 통과하는 직선과 고주파 결합기의 중앙 축을 통과하는 직선을 통상적으로 서로 일치하도록 배열하는 것을 특징으로 하는 플라즈마 처리장치.
  47. 제45항에 있어서, 제2리액턴스 소자의 중앙 축을 통과하는 직선과 제1리액턴스 소자의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록, 제1리액턴스 소자와 제2리액턴스 소자를 배열하는 것을 특징으로 하는 플라즈마 처리장치.
  48. 제45항에 있어서, 고주파 출력 단자는 제2리액턴스 소자 자체의 다른 하나의 단말인 것을 특징으로 하는 플라즈마 처리장치.
  49. 제45항에 있어서, 제2리액턴스 소자의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하인 것을 특징으로 하는 플라즈마 처리장치.
  50. 진공 용기;
    진공 용기에 가스를 공급하는 가스 공급 장치;
    진공 용기의 내부를 배기시키는 진공 장치;
    진공 용기 내에서 기판을 그 위에 배치하는 기판 전극;
    기판 전극에 대향해서 설치되는 대향 전극 또는 안테나;
    대향 전극 또는 안테나에 50 MHz부터 300 MHz까지의 주파수를 갖는 고주파 전력을 공급할 수 있는 고주파 전원;
    제30항에서 정의된 정합기; 및
    대향 전극 또는 안테나와 정합기의 고주파 출력 단자를 서로 접속하기 위한 고주파 결합기를 포함하며,
    고주파 결합기의 중앙 축을 통과하는 직선, 대향 전극 또는 안테나의 중앙 축을 통과하는 직선, 및 기판의 중앙 축을 통과하는 직선을 통상적으로 서로 일치하도록 배열하는 것을 특징으로 하는 플라즈마 처리장치.
  51. 제50항에 있어서, 고주파 출력 단자의 중앙 축을 통과하는 직선과 고주파 결합기의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록 배열되어서 플라즈마가 생성되는 것을 특징으로 하는 플라즈마 처리장치.
  52. 제50항에 있어서, 제2가변 콘덴서의 중앙 축을 통과하는 직선과 제1가변 콘덴서의 중앙 축을 통과하는 직선이 통상적으로 서로 일치하도록, 제1가변 콘덴서와 제2가변 콘덴서를 배열하는 것을 특징으로 하는 플라즈마 처리장치.
  53. 제50항에 있어서, 고주파 출력 단자는 제2가변 콘덴서 자체의 다른 하나의 단말인 것을 특징으로 하는 플라즈마 처리장치.
  54. 제50항에 있어서, 제2가변 콘덴서의 다른 하나의 단말로부터 대향 전극 또는 안테나까지의 실질적인 거리가 고주파 전력의 파장의 1/10 이하인 것을 특징으로 하는 플라즈마 처리장치.
KR10-2000-0008762A 1999-02-23 2000-02-23 플라즈마 처리방법 및 장치 KR100381117B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP99-44359 1999-02-23
JP04435999A JP3417328B2 (ja) 1999-02-23 1999-02-23 プラズマ処理方法及び装置
JP04624599A JP3485013B2 (ja) 1999-02-24 1999-02-24 プラズマ処理方法及び装置
JP99-46245 1999-02-24

Publications (2)

Publication Number Publication Date
KR20000062605A KR20000062605A (ko) 2000-10-25
KR100381117B1 true KR100381117B1 (ko) 2003-04-23

Family

ID=26384221

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0008762A KR100381117B1 (ko) 1999-02-23 2000-02-23 플라즈마 처리방법 및 장치

Country Status (3)

Country Link
US (2) US6808759B1 (ko)
KR (1) KR100381117B1 (ko)
TW (1) TW469534B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101022833B1 (ko) * 2008-03-26 2011-03-17 고쿠리츠 다이가쿠 호우징 나고야 다이가쿠 플라즈마 처리 장치, 플라즈마 처리 방법 및, 이 방법으로 처리된 피처리체

Families Citing this family (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3820188B2 (ja) 2002-06-19 2006-09-13 三菱重工業株式会社 プラズマ処理装置及びプラズマ処理方法
US7316761B2 (en) * 2003-02-03 2008-01-08 Applied Materials, Inc. Apparatus for uniformly etching a dielectric layer
CN1909760B (zh) * 2005-08-05 2010-07-21 中微半导体设备(上海)有限公司 真空反应室及其处理方法
KR100877404B1 (ko) * 2005-08-10 2009-01-07 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치의 제어 방법, 플라즈마 처리 장치 및 기록 매체
US7959775B2 (en) * 2006-09-29 2011-06-14 Tokyo Electron Limited Thermal stress-failure-resistant dielectric windows in vacuum processing systems
US8012259B2 (en) * 2007-03-09 2011-09-06 Hitachi Kokusai Electric, Inc. Substrate processing apparatus
US9536711B2 (en) * 2007-03-30 2017-01-03 Lam Research Corporation Method and apparatus for DC voltage control on RF-powered electrode
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
EP2328998A1 (en) 2008-09-30 2011-06-08 The Procter & Gamble Company Liquid hard surface cleaning composition
ES2582573T3 (es) 2008-09-30 2016-09-13 The Procter & Gamble Company Composiciones limpiadoras líquidas de superficies duras
EP2328999A1 (en) 2008-09-30 2011-06-08 The Procter & Gamble Company Liquid hard surface cleaning composition
CN101740298B (zh) * 2008-11-07 2012-07-25 东京毅力科创株式会社 等离子体处理装置及其构成部件
RU2518090C2 (ru) 2009-12-22 2014-06-10 Дзе Проктер Энд Гэмбл Компани Жидкий состав для чистки и/или глубокой очистки
EP2516609B1 (en) 2009-12-22 2013-11-27 The Procter and Gamble Company Liquid cleaning and/or cleansing composition
CA2796947C (en) 2010-04-21 2015-11-24 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
EP2431453B1 (en) 2010-09-21 2019-06-19 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
EP2431451A1 (en) 2010-09-21 2012-03-21 The Procter & Gamble Company Liquid detergent composition with abrasive particles
JP5997161B2 (ja) 2010-09-21 2016-09-28 ザ プロクター アンド ギャンブル カンパニー 液体洗浄組成物
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8470759B2 (en) * 2011-06-20 2013-06-25 The Procter & Gamble Company Liquid cleaning and/or cleansing composition comprising a polyhydroxy-alkanoate biodegradable abrasive
WO2012177615A1 (en) 2011-06-20 2012-12-27 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
EP2537917A1 (en) 2011-06-20 2012-12-26 The Procter & Gamble Company Liquid detergent composition with abrasive particles
US8852643B2 (en) 2011-06-20 2014-10-07 The Procter & Gamble Company Liquid cleaning and/or cleansing composition
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
EP2719752B1 (en) 2012-10-15 2016-03-16 The Procter and Gamble Company Liquid detergent composition with abrasive particles
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) * 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
FR3030080A1 (fr) * 2014-12-11 2016-06-17 Orange Procede et dispositif d'utilisation de contenus d'une bibliotheque de contenus
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
FR3032067B1 (fr) 2015-01-28 2019-05-03 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de mesure non invasive pour le controle du fonctionnement d'une pile a combustible a membrane
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20190002618A (ko) * 2016-04-29 2019-01-08 레트로-세미 테크놀로지스, 엘엘씨 Vhf z-코일 플라즈마 소스
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10879051B2 (en) * 2016-11-29 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method for controlling exposure region in bevel etching process for semiconductor fabrication
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP2018129224A (ja) * 2017-02-09 2018-08-16 東京エレクトロン株式会社 プラズマ処理装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
CN109148250B (zh) * 2017-06-15 2020-07-17 北京北方华创微电子装备有限公司 阻抗匹配装置和阻抗匹配方法
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0774159A (ja) * 1993-09-03 1995-03-17 Anelva Corp プラズマ処理方法およびプラズマ処理装置
JPH07272897A (ja) * 1994-03-31 1995-10-20 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
JPH0963794A (ja) * 1995-06-15 1997-03-07 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JPH09171900A (ja) * 1995-12-20 1997-06-30 Toshiba Corp プラズマ発生装置
JPH10162992A (ja) * 1996-10-02 1998-06-19 Tokyo Electron Ltd プラズマ処理装置
JPH10255997A (ja) * 1997-03-07 1998-09-25 Anelva Corp 磁場増強型誘導結合平面プラズマ発生装置
KR19990009886U (ko) * 1997-08-26 1999-03-15 구본준 다전압 인가가 가능한 반도체의 플라즈마 증착장치

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5433812A (en) 1993-01-19 1995-07-18 International Business Machines Corporation Apparatus for enhanced inductive coupling to plasmas with reduced sputter contamination
US5518547A (en) 1993-12-23 1996-05-21 International Business Machines Corporation Method and apparatus for reducing particulates in a plasma tool through steady state flows
US5783492A (en) 1994-03-04 1998-07-21 Tokyo Electron Limited Plasma processing method, plasma processing apparatus, and plasma generating apparatus
JP3107971B2 (ja) * 1994-05-17 2000-11-13 株式会社半導体エネルギー研究所 気相反応装置
JP3105403B2 (ja) 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
JP2770753B2 (ja) 1994-09-16 1998-07-02 日本電気株式会社 プラズマ処理装置およびプラズマ処理方法
US5824605A (en) 1995-07-31 1998-10-20 Lam Research Corporation Gas dispersion window for plasma apparatus and method of use thereof
US6089182A (en) 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
US5718795A (en) 1995-08-21 1998-02-17 Applied Materials, Inc. Radial magnetic field enhancement for plasma processing
EP0805475B1 (en) 1996-05-02 2003-02-19 Tokyo Electron Limited Plasma processing apparatus
EP1324371B1 (en) 1996-09-27 2006-06-07 Surface Technology Systems Plc Plasma processing apparatus
JPH10149995A (ja) * 1996-11-15 1998-06-02 Kokusai Electric Co Ltd プラズマcvd装置
US6184158B1 (en) 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
US6076482A (en) 1997-09-20 2000-06-20 Applied Materials, Inc. Thin film processing plasma reactor chamber with radially upward sloping ceiling for promoting radially outward diffusion
US6110556A (en) * 1997-10-17 2000-08-29 Applied Materials, Inc. Lid assembly for a process chamber employing asymmetric flow geometries
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6097157A (en) 1998-04-09 2000-08-01 Board Of Regents, The University Of Texas System System for ion energy control during plasma processing
US6074516A (en) 1998-06-23 2000-06-13 Lam Research Corporation High sputter, etch resistant window for plasma processing chambers
KR100311234B1 (ko) 1999-01-18 2001-11-02 학교법인 인하학원 고품위 유도결합 플라즈마 리액터
US6165567A (en) 1999-04-12 2000-12-26 Motorola, Inc. Process of forming a semiconductor device
JP4726369B2 (ja) * 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US20020038791A1 (en) * 2000-10-03 2002-04-04 Tomohiro Okumura Plasma processing method and apparatus

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0774159A (ja) * 1993-09-03 1995-03-17 Anelva Corp プラズマ処理方法およびプラズマ処理装置
JPH07272897A (ja) * 1994-03-31 1995-10-20 Sumitomo Metal Ind Ltd マイクロ波プラズマ装置
JPH0963794A (ja) * 1995-06-15 1997-03-07 Sumitomo Metal Ind Ltd マイクロ波プラズマ処理装置
JPH09171900A (ja) * 1995-12-20 1997-06-30 Toshiba Corp プラズマ発生装置
JPH10162992A (ja) * 1996-10-02 1998-06-19 Tokyo Electron Ltd プラズマ処理装置
JPH10255997A (ja) * 1997-03-07 1998-09-25 Anelva Corp 磁場増強型誘導結合平面プラズマ発生装置
KR19990009886U (ko) * 1997-08-26 1999-03-15 구본준 다전압 인가가 가능한 반도체의 플라즈마 증착장치

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101022833B1 (ko) * 2008-03-26 2011-03-17 고쿠리츠 다이가쿠 호우징 나고야 다이가쿠 플라즈마 처리 장치, 플라즈마 처리 방법 및, 이 방법으로 처리된 피처리체

Also Published As

Publication number Publication date
US6808759B1 (en) 2004-10-26
KR20000062605A (ko) 2000-10-25
US20050011453A1 (en) 2005-01-20
TW469534B (en) 2001-12-21
US7513214B2 (en) 2009-04-07

Similar Documents

Publication Publication Date Title
KR100381117B1 (ko) 플라즈마 처리방법 및 장치
KR100394484B1 (ko) 플라즈마 처리 방법 및 장치
JP3374796B2 (ja) プラズマ処理方法及び装置
US10375812B2 (en) Low electron temperature, edge-density enhanced, surface-wave plasma (SWP) processing method and apparatus
JP3438696B2 (ja) プラズマ処理方法及び装置
US8968588B2 (en) Low electron temperature microwave surface-wave plasma (SWP) processing method and apparatus
JP4610191B2 (ja) プラズマを生成するための手順および装置
US9960014B2 (en) Plasma etching method
KR101328800B1 (ko) 다중 주파수의 rf 펄스 파워를 이용한 펄스 플라즈마의 특성 제어 방법
US20160300738A1 (en) Plasma Generation and Control Using a DC Ring
US20050126711A1 (en) Plasma processing apparatus
US6573190B1 (en) Dry etching device and dry etching method
JP2760845B2 (ja) プラズマ処理装置及びその方法
US7779783B2 (en) Plasma processing device
JP3417328B2 (ja) プラズマ処理方法及び装置
JP2000164394A (ja) プラズマ処理装置
JP3485013B2 (ja) プラズマ処理方法及び装置
JPH1167725A (ja) プラズマエッチング装置
KR101932859B1 (ko) 플라즈마 소스 및 이를 이용한 플라즈마 발생장치
US6432730B2 (en) Plasma processing method and apparatus
JP3374828B2 (ja) プラズマ処理方法及び装置
JP2675000B2 (ja) プラズマ処理装置
JP2000195843A (ja) プラズマ処理方法及び装置
JP2002280198A (ja) プラズマ処理装置及び方法
JP2023109497A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130318

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140320

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20160318

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20170302

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee