KR100359734B1 - 모델에 기초한 반도체 열 처리기용 온도제어기 - Google Patents

모델에 기초한 반도체 열 처리기용 온도제어기 Download PDF

Info

Publication number
KR100359734B1
KR100359734B1 KR1019997006777A KR19997006777A KR100359734B1 KR 100359734 B1 KR100359734 B1 KR 100359734B1 KR 1019997006777 A KR1019997006777 A KR 1019997006777A KR 19997006777 A KR19997006777 A KR 19997006777A KR 100359734 B1 KR100359734 B1 KR 100359734B1
Authority
KR
South Korea
Prior art keywords
controller
spike
profile
thermocouple
delete delete
Prior art date
Application number
KR1019997006777A
Other languages
English (en)
Other versions
KR20000070532A (ko
Inventor
케빈 스토다드
진 베놀트 휴게스
콘스탄티노스 사카리스
Original Assignee
세미툴 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세미툴 인코포레이티드 filed Critical 세미툴 인코포레이티드
Publication of KR20000070532A publication Critical patent/KR20000070532A/ko
Application granted granted Critical
Publication of KR100359734B1 publication Critical patent/KR100359734B1/ko

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/1927Control of temperature characterised by the use of electric means using a plurality of sensors
    • G05D23/193Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces
    • G05D23/1931Control of temperature characterised by the use of electric means using a plurality of sensors sensing the temperaure in different places in thermal relationship with one or more spaces to control the temperature of one space
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D23/00Control of temperature
    • G05D23/19Control of temperature characterised by the use of electric means
    • G05D23/20Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature
    • G05D23/22Control of temperature characterised by the use of electric means with sensing elements having variation of electric or magnetic properties with change of temperature the sensing element being a thermocouple

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Toxicology (AREA)
  • Remote Sensing (AREA)
  • Health & Medical Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Feedback Control In General (AREA)
  • Control Of Temperature (AREA)

Abstract

전원에 의해 전력이 공급되는 가열 소자를 포함하는 열반응로 또는 기타 반도체 처리기들을 제어하며, 프로파일 서모커플들 및 스파이크 서모커플들을 가지는 제어기들 및 관련 방법들에 관한 것이다. 한 바람직한 방법은 다음 단계들인: 열반응로에 서모커플 장착 웨이퍼들을 제공하는 것을 포함하며 열반응로의 열 다이나믹 특성을 모델링하는 단계, 자극 시퀀스를 사용하여 가열소자를 제어함으로서 열반응로를 교란하는 단계 및 상기 교란에 의해 생성된 온도의 변화를 기초로 모델들을 개발하는 단계를 포함한다. 상기 모델들은 진보된 오프라인이며 전력 대 스파이크 서모커플 판독치들의 모델, 스파이크 서모커플 판독치들 대 프로파일 서모커플 판독치의 모델 및 프로파일 서모커플 판독치들 및 서모커플 장착 웨이퍼 판독치들의 모델과 같은 하나 이상의 모델들을 포함할 수 있다. 온라인 모델들은 더욱 유도되어 측정된 프로파일 및 스파이크 온도들을 사용하여 열처리기 영역들에 대한 전력 입력을 제어하는 작동 동안 사용된다. 모델들은 캐스케이드될 수 있거나 또는 다른 제어 기간을 성취하도록 선적으로 작동될 수 있다.

Description

모델에 기초한 반도체 열 처리기용 온도제어기{MODEL BASED TEMPERATURE CONTROLLER FOR SEMICONDUCTOR THERMAL PROCESSORS}
반도체 웨이퍼의 공정은 제조되는 대용량 집적회로 및 그러한 회로에 관련되는 중요한 가치때문에 상당한 경제적 중요성을 갖는다. 경쟁적 압력은 제조상에 있어서 극적인 변화를 가져왔다. 이들 중에서 가장 중요한 것은 집적회로 상에 형성되는 트랜지스터 및 다른 디바이스를 제조하는 집적회로의 다양한 최소 배선폭을 감소시키는 것이다. 이러한 최소 배선폭의 감소는 고 레벨의 집적도, 보다 정교하고 복잡한 회로를 얻고, 제조되는 각 웨이퍼 상에 더 많은 집적회로를 얻음으로써 제조비용을 절감하도록 한다.
집적회로에서 사용되는 최소 배선폭이 극적으로 감소했다 하더라도, 추가적인 감소가 계속해서 수행되고 있다. 웨이퍼가 처리되는 온도는 도펀트(dopant)의 확산, 물질의 증착 또는 수행되는 다른 열 공정들에 있어 가장 중요한 영향을 미친다. 따라서, 바람직한 열 처리 요구사항을 만족시키는 정확한 온도제어를 달성할수 있는 공정장비를 갖는 것이 중요하다. 최소 배선폭이 감소함에 따라서, 공정동안 정확한 온도제어의 중요성은 상당히 증가한다.
통상적으로, 반도체 열반응로는 온도제어를 위해서 비례-적분-미분(PID) 제어기를 사용한다. 그러한 제어기가 작동 및 유지에 용이하다는 잇점을 가지고 있지만, 그것들은 온도를 제어함에 있어서 정확성에 한계가 있다. 이러한 정확성의 한계는 집적회로의 달성 가능한 크기 및 수율에 제한요소가 된다.
보다 통상적인 PID 제어기 파라미터는 이득값을 조정함으로써 실험적으로 조율되거나 다양한 조율규칙(예를들면, Ziegler-Nichols)을 사용해서 선택된다. 그러한 제어방법은 제조되는 결과적인 집적회로 또는 다른 반도체 품목의 생산 수율 및 일관성과 관련되는 제한요소들을 갖는 열반응로 온도에 대해 상대적으로 정확도가 떨어지는 제어를 제공한다.
보다 복잡한 제어안이 고안되었지만, 이러한 보다 복잡한 안들은 종종 너무 계산이 복잡해서 온라인 작동이 실현 불가능하거나 부적합하다. 어떤 경우에 있어서, 보다 복잡한 제어안은 생산 설비에 요구되는 제어 시스템 조율 및 유지를 달성하는데 어렵기 때문에 역시 사용되지 않는다. 이것은 제어 시스템에 대한 배경지식이 많지 않은 기술자들에 의해 작동되는 제어기에 대해서 다소 중요하다. 결과적으로 그들이 그들의 특정 처리기 성능 변화에 따른 제어시스템 조정시 내재된 모든 복잡성을 해결하는 것은 어렵다. 이것은 시간이 흐름에 따라 동일 처리기 내의 변동 및 상태 변화에 따라 악화된다.
반도체 디바이스의 열 공정 중 직면한 온도제어 문제는 몇 가지 다른 방식으로 생각될 수 있다. 한 가지 제어 문제는 웨이퍼 온도를 처리기의 바람직한 전체 또는 평균 목표값 또는 레서피(recipe)온도에 정합하는 것을 포함한다. 상기 문제는 바람직한 레서피온도를 달성하는 것과 생산 공정간에 상대적으로 지속적인 온도를 달성하는 것을 포함한다.
처리기의 바람직한 전체 또는 평균 레서피온도는 세 가지 다른 상태의 기간으로 생각되는 것이 편리하다. 제 1상태는 공정이 시작될 때 평균 동작온도가 저 레벨로부터 증가 또는 상승하는 통상적인 상승상태(ramp-up phase)이다. 온도 상승상태 이후에는 통상적으로 바람직한 최대 또는 다른 일정 공정온도가 유지되는 단계가 온다. 그러한 일정온도 상태는 온도상승의 변화가 끝나고 일정 또는 거의 일정온도에 도달되는 안정화 단계를 포함한다. 일정온도 상태는 한 공정 사이클 내에 1회 이상 발생할 수 있다. 또 다른 상태는 처리기의 평균 온도가 내려가는 하강상태이다. 다양한 공정들은 각각의 이들 세 가지 다른 상태들 중에 하나 이상을 포함할 수 있음이 이해된다.
단순 또는 보다 복잡한 온도 계획 또는 레서피가 사용되는 데 있어서, 각 상태는 온도 및 열 반응에 영향을 미치는 하나 이상의 추가되는 공정 가스 또는 기상 공정 조성물이 도입됨에 따라서 더욱 복잡해진다. 그러한 추가 공정 가스는 통상적으로 도펀트를 함유한 가스, 증착 물질 또는 증기이다.
또 다른 제어문제는 한 배치(bathch) 내에서 처리되는 각각의 웨이퍼 또는 다른 반도체 공작물 제품(workpiece)에 대한 공정 사이클 동안 상대적으로 유사한 온도 노출 또는 온도 내력을 달성하는 것이다. 온도 변동은 공정 중인 전기로 내에 놓인 웨이퍼 어레이의 종단 부근에 위치한 웨이퍼에서 일상적으로 발생한다. 또한 공정 중인 어레이 내에 포함된 웨이퍼를 따라서, 웨이퍼간의 변동에 대한 예측가능 정도 역시 떨어질 수 있다.
또 다른 온도제어 문제는 처리중인 개별적인 웨이퍼 또는 다른 반도체 제품상의 온도변동과 관련된다. 이런 분야의 변동성은 처리중인 웨이퍼 어레이 둘레에 링 형태로 형성된 다중 전기 가열소자 그룹을 갖는 대부분의 공정 전기로의 형태에 의해 예시된다. 가열소자로 부터의 열은 공정 용기를 통해 방사되고, 온도 변동은 웨이퍼의 내부에 비해 주변부의 열이득에 따라서 발생한다. 웨이퍼간에 발생하는 방사 열전달 및 반사 쉐도윙(shadowing) 정도에 따른 변동은 이 문제를 더 악화시킨다.
또 다른 주목할 만한 고려사항은 열 처리기로 수행되는 특수한 공정 또는 공정 그룹에 영향을 주는 공정시간을 최소화하는 제조상의 관심사이다. 공정시간을 최소화하는 것은 통상적으로는 상승상태 온도 변화율을 증가시킬 것이다. 역으로, 시간이 중요한 경우엔 하강상태 온도 변화율 또한 증가시킬 것이다. 증가된 온도 변화율은 상승과 안정화 상태 사이 및 안정온도와 상대적으로 급속한 온도 하강상태 사이의 과도적인 공정 기간에 레서피 온도를 유지하는데 더욱 어렵게 한다.
이러한 복잡성 및 다소 상쇄적인 고려사항으로 인해, 반도체 웨이퍼의 개선된 열 공정을 위한 실제적이고 실행할 수 있는 개선된 제어 시스템을 달성하는데 큰 어려움이 존재한다.
본 발명은 반도체 처리방법 및 장치에 관한 것이다. 보다 상세하게는, 본 발명은 주로 열반응로 내의 온도 및 다른 공정 변수들을 제어하기 위한 공정 제어기 및 반도체 공정에서 사용되는 다른 처리기에 관한 것이다.
도 1A는 본 발명을 실시하는 열반응로 시스템의 부분 단면 측면도.
도 1B는 서모커플 장착 웨이퍼(thermocouple instrumented wafer)를 사용해서 모델링 및 특성화 동안 도 1A의 열반응로 시스템의 부분 단면 측면도.
도 2는 본 발명에 따른 바람직한 서모커플 제어기를 도시하는 블럭 다이어그램.
도 3은 처리순서 하부시스템 및 가스 인터페이스의 동작을 도시하는 블럭 다이어그램.
도 4는 온도 하부시스템의 동작을 도시하는 블럭 다이어그램.
도 5는 도 1A의 반응로를 특성화하는데 사용되는 예시적인 의사-랜덤 2진 시퀀스(pseudo-random binary sequence)를 도시하는 파형 다이어그램.
도 6은 공정 상에서 반도체 웨이퍼의 실질 사용에 앞서 도 1A의 반응로를 특성화하기 위해서 도 2의 의사-랜덤 2진 시퀀스를 사용하는 특성화 제어모드의 제어 다이어그램.
도 7은 스파이크(spike) 제어기를 채용한 소자 제어모드 논리회로의 제어 다이어그램.
도 8은 프로파일(profile) 제어기 및 도 7의 스파이크 제어기를 채용한 기본 제어모드의 제어 다이어그램.
도 9는 웨이퍼 제어기, 도 8의 프로파일 제어기 및 도 7의 스파이크 제어기를 채용한 다이나믹 제어모드 논리회로의 제어 다이어그램.
도 10은 Dt 비선형 제어기, 도 8의 프로파일 제어기 및 도 7의 스파이크 제어기를 채용한 Dt 제어모드 논리회로의 제어 다이어그램.
도 11은 바람직한 제어기의 설계를 도시하는 흐름도.
본 발명의 명세서는 미국 특허법 제 1조, 8항 "과학 및 유용한 기술의 진보를 촉진하기 위한" 입법 목적을 증진하기 위해 제출된다.
본 발명은 제작중인 반도체 제품을 처리하는데 사용되는 열반응로 또는 처리기를 제어하기 위한 제어기 및 방법을 제공한다. 통상적인 열반응로는 전원에 의해 전력이 제공되는 가열 소자(heating element)를 포함하고, 프로파일 서모커플(profile thermocouple) 및 스파이크 서모커플(spike thermocouple)을 갖는다. 바람직한 방법은 다음의 단계를 포함한다:
열반응로의 열 역학 특성을 모델링하는 단계, 상기 모델링 단계는 열반응로 내의 서모커플 장착 웨이퍼를 제공하는 단계를 포함하며, 자극 순서를 사용하는 가열소자를 제어함으로써 열반응로를 교란(pertubing)시키는 단계, 및 상기 교란에 의해 발생된 온도변화에 기초한 모델을 개발하는 단계를 포함하며, 상기 모델은 전력 대 스파이크 서모커플 판독치(readings)의 모델, 스파이크 서모커플 판독치 대 프로파일 서모커플 판독치의 모델 및 프로파일과 스파이크 서모커플 판독치 대 서모커플 장착 웨이퍼 판독치의 모델을 포함한다.
본 발명의 한 양상은 전원에 의해 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하고, 선택적으로 서모커플 장착 웨이퍼를 수용하는 열반응로를 제어하기 위한 제어기 유니트(unit)를 제공하는데, 상기 제어기유니트는 레서피를 수용하는 입력장치, 프로파일 서모커플 및 스파이크 서모커플과 통신하는 다수의 선택가능한 제어모드 논리회로를 포함하며, 상기 제어모드 논리회로는 레서피에 따라 가열소자를 제어하는데 사용된다.
본 발명의 또다른 양상은 전원에 의해 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하고, 선택적으로 서모커플 장착 웨이퍼를 수용하는 열반응로를 포함하는 열반응로를 제어하기 위한 방법을 제공하는데, 상기 방법은 프로파일 서모커플, 스파이크 서모커플 및 서모커플 장착 웨이퍼를 사용하는 열반응로의 열역학특성을 모델링하는 단계; 시간에 대해 바람직한 온도를 포함하는 레서피와 시간에 대해 바람직한 제어모드를 포함하는 상기 레서피를 수용하는 단계; 레서피에 따라 제어모드간을 스위칭하는 단계 및 프로파일 서모커플과 스파이크 서모커플로부터 수집된 온도 정보를 사용해서 다른 제어모드에서는 다르게 온도를 제어하는 단계를 포함한다.
본 발명의 또 다른 양상은 전원에 의해서 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하는 열반응로를 제어하기 위한 제어기 유니트를 제공하는데, 상기 제어기 유니트는 웨이퍼 온도를 예측하는 온라인 모델; 상기 온라인 모델 및 동작 중 프로파일 서모커플과 스파이크 서모커플로부터 수집된 온도 정보에 따라 가열 소자를 제어하는 다수의 선택가능한 제어모드 논리회로를 포함한다.
본 발명의 또 다른 양상은 전원에 의해서 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하는 열반응로를 제어하는 방법을 제공하는데, 상기 방법은 프로파일 서모커플로부터의 측정값을 사용하고, e(-2/kT)(여기서, K는 볼츠만 상수이고, T는 프로파일 서모커플을 사용해서 측정된 온도이다)의 적분값을 가지고 바람직한 에너지에 대하여 열반응로에 제공되는 에너지를 제어하는 것을 포함한다.
본 발명의 또 다른 양상은 전원에 의해서 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하는 열반응로를 제어하기 위한 제어기 유니트를 제공하는데, 상기 제어기 유니트는 프로파일 서모커플로부터의 측정값을 사용하고, e(-2/kT)(여기서, k는 볼츠만 상수이고, T는 프로파일 서모커플을 사용해서 측정된 온도이다)의 적분값을 가지고 바람직한 에너지에 대해서 열반응로에 제공되는 에너지를 제어하는 서멀버짓(thermal budget)제어기를 포함한다.
본 발명의 또 다른 양상은 전원에 의해서 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하는 열반응로를 포함하는 열반응로를 제어하기 위한 방법을 제공하는데, 상기 방법은 열반응로의 열역학특성을 모델링하는 단계; 시간에 대한 바람직한 온도를 포함하는 레서피와 시간에 대한 바람직한 제어모드를 포함하는 상기 레서피를 수용하는 단계; 각 레서피에 따라 제어모드 간을 스위칭하는 단계 및 프로파일 서모커플과 스파이크 서모커플에 의해서 제공되는 온도 정보를 사용해서 다른 제어모드에서는 다르게 온도를 제어하는 단계를 포함하며, 상기 제어모드는 캐스케이드형 제어기에 의해 정의된다.
본 발명의 또 다른 양상은 전원에 의해서 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하는 열반응로를 제어하기 제어기 유니트를 제공하는데, 상기 제어기 유니트는 웨이퍼 온도를 예측하는 온라인 모델; 및 하나 이상의 프로파일 서모커플, 스파이크 서모커플 및 온라인 모델에 해당하는 가열소자를 제어하는 다수의 캐스케이드형 선택가능한 제어모드 논리회로를 포함한다.
본 발명의 또 다른 양상은 전원에 의해서 전력이 제공되는 가열소자, 프로파일 서모커플 및 스파이크 서모커플을 지지하는 열반응로를 제어하기 위한 제어기 유니트를 제공하는데, 상기 제어기 유니트는 스파이크 및 프로파일 서모커플로부터의 측정값에 기초해서 웨이퍼 온도를 예측하는 온라인 모델; 및 다수의 선택가능한 제어모드 논리회로를 포함하며, 상기 제어모드 논리회로는 스파이크 서모커플에 의한 측정값에 해당하는 가열소자에 인가되는 전력을 제어하는 스파이크 제어기 및 프로파일 서모커플에 의한 측정값에 해당하는 스파이크 제어기를 제어하는 프로파일 제어기를 가는 기본 제어모드 논리회로; 반응로에 제공되는 에너지를 측정하고 바람직한 에너지에 대하여 열반응로에 제공되는 에너지를 제어하는 서멀버짓 제어모드 논리회로(상기 서멀버짓 제어모드 논리회로는 스파이크 제어기 및 프로파일 제어기를 채용하며, 상기 서멀버짓 제어모드 논리회로는 프로파일 제어기를 제어하는 Dt 제어기를 더 포함); 예측된 웨이퍼 온도에 기초한 열반응로에 제공되는 에너지를 제어하는 다이나믹 제어모드 논리회로(상기 다이나믹 제어모드 논리회로는 스파이크 제어기, 프로파일 제어기 및 온라인 모델을 채용하며, 상기 다이나믹 제어모드 논리회로는 온라인 모델과 통신하는 웨이퍼 제어기를 더 포함하고 상기 프로파일 제어기로 제어)를 포함한다.
도 1A는 본 발명에서 실시한 열반응로 시스템(10)을 도시한다. 열반응로 시스템(10)은 열반응로(12)를 포함한다. 열반응로(12)는 방향에 따라 수평형 또는 수직형일 수 있다.
열반응로(12)는 챔버를 정의하는 공정튜브(14)를 포함한다. 공정튜브(14)는 석영 또는 실리콘 카바이드로 제작되는 것이 바람직하다. 예시된 실시예에 있어서, 공정튜브는 일반적으로 개방 종단부(open end)(16)를 갖는 중공(hollow) 실린더 모양이다. 공정튜브(14)는 종축을 따라 연장되고 거의 종축을 한정하는 길이를 갖는다. 상기 열반응로 시스템(10)은 공정튜브(14)로 웨이퍼 로드(load)(20)를 삽입하거나 또는 공정튜브로부터 웨이퍼 로드를 제거하는 보트 로더(boat loader) 또는 패들(18)을 더 포함한다. 보다 상세하게는, 상기 보트 로더(18)는 지지부(22) 및 지지부(22)가 공정튜브 내로 삽입될 때, 지지부와 함께 이동될 수 있으며 공정튜브(14)의 개방 종단부를 닫는 도어(door)부(24)를 포함한다. 상기 도어부(24)는 웨이퍼 로드(20)가 공정튜브(14)에 삽입된 후 열 손실을 방지하기 위해서 공정튜브를 봉하고 단열시킨다.
웨이퍼 로드(20)는 다수의 보트(26)를 포함한다. 예시된 실시예에 있어서, 상기 보트(24)는 석영 또는 실리콘 카바이드로 제작된다. 웨이퍼 로드(20)는 다수의 실리콘 웨이퍼(26)를 더 포함하고, 각 보트(24)는 다수의 웨이퍼(26)를 지지한다. 예시된 실시예에 있어서, 각 보트(24) 상의 웨이퍼는 동일 간격으로 위치된다. 웨이퍼(26)의 보트 또는 보트들은 일반적으로 웨이퍼 또는 다른 반도체 제품의 공정 어레이를 형성한다.
상기 열반응로(12)는 공정튜브(14) 주위의 가열소자(30)를 포함한다. 예시된 실시예에 있어서, 가열소자(30)는 공정튜브(14)의 종방향에 평행한 공정 챔버의 종방향을 따라 연장된 전기 저항 가열 코일 또는 코일들이다. 상기 가열소자(30)는 다수의 개별적으로 제어가능한 가열영역(32)으로 세분된다. 상기 영역(32)은 상기 코일 또는 코일들을 개별적으로 제어가능한 영역들로 분리되도록 코일을 따라 연결부들을 제공함으로써 정의된다. 이후에 상기 영역들은 각각의 영역과 관련된 코일의 반대 종단부 또는 큰 코일부에 전력을 공급함으로써 개별적으로 제어가능하다. 보다 상세하게는, 열반응로 시스템(10)은 각각의 가열 영역(32)에 제어가능하게 전력을 공급하기 위해서 고 전류전압 변압기(33) 및 실리콘 제어 정류기(SCR)(34)를 더 포함한다(도 4).
상기 열반응로(12)는 가열소자(30)를 감싸는 세라믹 절연물(35)을 포함한다. 또한 상기 절연물은 열을 웨이퍼 어레이 방향으로 반사시키고, 그렇지 않으면 직접 향하게 하는 역할을 하며, 공정 어레이로부터의 열 플럭스(heat flux) 변화를 최소화하도록 하는 더욱 균일한 층을 제공하는 역할을 한다.
열반응로(12)는 다수의 스파이크 서모커플(36)을 더 포함한다. 여기서 사용되는 서모커플이라는 용어는 서모커플의 보다 특정한 의미를 포함하는 다양한 온도 센서들을 잠재적으로 포함한다. 대체 온도 센서 구성은 서모커플이라는 용어사용에 의해 의도된다. 상기 스파이크 서모커플(36)은 가열소자(30)와 공정튜브(14) 사이와 같은 적당한 위치에 놓인다. 스파이크 서모커플(36)들은 가열소자(30)의 종방향을 따라 일정간격을 두고 위치하며, 스파이크 서모커플(36)은 각각의 가열영역(32)내에 위치한다. 스파이크 서모커플(36)은 각각의 가열 영역내의 가열소자에서 또는 가열소자의 온도의 가장 특수하고 민감한 징후를 제공한다.
열반응로(12)는 공정튜브(14) 내부에 연장한 프로파일 온도센서 시스(sheath)(38)를 더 포함한다. 상기 공정튜브(14)는 바닥 면을 가지며, 상기 공정튜브(14)는 공정튜브의 종방향에 평행한 방향으로 공정튜브(14)의 바닥면 상에 지지된다. 상기 시스(38)는 각각의 가열영역(32)을 적어도 부분적으로 가로지르도록 연장된다. 예시된 실시예에 있어서, 시스(38)는 석영 또는 실리콘 카바이드로 제작된다.
열반응로(12)는 상기 시스(38)내에 지지되는 연장된 프로파일 로드(rod)(40)를 더 포함한다. 상기 프로파일 로드(40)는 공정튜브(14)의 종방향과 평행한 어느 정도의 길이를 갖는다. 상기 프로파일 로드(40)는 상기 로드(40)의 종방향을 따라 동일한 일정 간격상에 위치한 다수의 서모커플(42)을 포함하며, 한 서모커플(42)은 각각의 가열영역(32)내에 위치한다. 상기 서모커플(42)이 공정튜브(14)의 종방향으로 스파이크 서모커플(36)과 정렬될 필요는 없다. 상기 프로파일 로드(40)은 공정튜브(14)내의 온도를 측정하고 각각의 가열영역 내의 웨이퍼 로드(20)의 온도에 대한 표시값을 제공한다.
다수의 서모커플 장착 웨이퍼(44)는 열반응로의 모델링동안 선택적으로 채용된다. 도 1B는 모델링동안 서모커플 장착 웨이퍼(44)를 수용하는 도 1A의 열반응로(12)를 도시한다. 이들 서모커플 장착 웨이퍼(44)는 웨이퍼(28)의 실제 온도의 정확한 측정값을 제공하도록 웨이퍼 로드(16)상에 일정 간격으로 위치한다. 웨이퍼 또는 다른 제품은 처리중인 실리콘 또는 다른 반도체 물질로 제조된다. 각각의 예시적인 서모커플 장착 웨이퍼(44)는 실리콘 웨이퍼 및 상기 실리콘 웨이퍼에 결합된 두개의 서모커플(46)(웨이퍼의 가장자리 상에 하나, 그리고 웨이퍼의 중심부에 하나)을 포함한다. 각각의 서모커플 장착 웨이퍼(44)의 서모커플(46)은 정확한 온도 측정값을 제공하도록 세라믹 접착제와 같은 것을 가지고 실리콘 웨이퍼에 부착된다.
열반응로 시스템(10)은 실리콘 웨이퍼(28)의 표면 상에 물질을 성장, 확산 또는 증착하기 위해서 선택가능한 가스 공급부(50)로부터 공정튜브(14)로 공정가스를 제어가능하게 주입하는 가스 이송시스템 또는 가스패널(48)을 더 포함한다. 가스패널(48)은 밸브(52) 및 가스 흐름 제어기(54)를 포함한다(도3). 상기 가스 흐름 제어기(52)는 공정튜브(14)로의 공정 가스 흐름을 측정하고 제어하는데 사용된다.
다른 실시예에 있어서, 공정튜브(14)는 저압 화학 기상 증착(LPCVD) 공정 중에 압입된다. 이러한 실시예에 있어서, 열반응로(12)는 압력 제어기(56)를 더 포함한다(도 3). 이들 실시예에 있어서, 열반응로 시스템(10)은 공정튜브 내의 압력을 측정하고, 측정된 압력값을 압력 제어기(56)와 통신하는 바라트론(baratron) 또는 다른 적당한 압력 감지장치(58)를 더 포함한다. 또한, 이러한 실시예에 있어서, 열반응로 시스템(10)은 공정튜브(14) 내의 바람직한 압력을 달성하기 위해서 압력 제어기(56)와 통신하는 펌프 및 밸브(60)를 더 포함한다.
다른 실시예에 있어서, 열반응로(12)는 공정튜브(14) 내부 또는 외부에토치(torch)(62)를 더 포함한다(도 3). 상기 토치(62)는 공정튜브(14) 내에서 증기를 생성하도록 수소와 산소의 비율을 연소시킴으로써 습식 산화공정에 사용된다.
열반응로 시스템(10)은 열반응로(12)를 제어하는 제어 시스템(64)을 포함한다(도 2). 제어 시스템(64)은 2개의 하부시스템(공정순서를 위한 처리 순서 하부시스템(66), 및 온도제어를 위한 온도 하부시스템(68))을 포함한다. 각 하부시스템(64,66)은 인텔 PC, 286, 386, 486, 펜티엄, 또는 그 이상의 제품, 또는 그것의 복제품, 또는 모토롤라 6800, 68000 또는 그 이상의 제품, 또는 다른 마이크로프로세서와 같은 마이크로프로세서를 포함한다.
다른 마이크로프로세서가 사용될 수 있지만, 예시된 실시예에 있어서, 처리순서 하부시스템(66)은 6800 마이크로프로세서(70)를 채용한다. 공정순서 하부시스템은 램(RAM)(72) 및 제어기 논리를 저장하는 프로그램 가능한 EPROM(74)을 포함한다. 처리순서 하부시스템(66)은 다수의 아날로그 입출력 채널(78)뿐만 아니라 다수의 디지탈 입출력 채널(76)을 더 포함한다. 외부 통신이 요구된다면, 처리순서 하부시스템(66)은 다수의 외부(원격)통신용 직렬 입출력 채널을 더 포함한다. 처리순서 하부시스템(66)은 사용자 정의된 공정 레서피를 수행한다. 보다 상세하게는, 열반응로 시스템(10)은 입력장치를 정의하는 사용자 인터페이스(82)를 더 포함한다. 다른 사용자 인터페이스도 채용될 수 있지만, 예시된 실시예에는 사용자 인터페이스(82)는 사용자가 사용자 정의된 공정 레서피를 기록하는데 터치 스크린 터미날 인터페이스를 포함한다. 공정 레서피에 있어서, 사용자는 각 단계의 기본이 되는 단계시간, 가스흐름, 챔버압력, 온도 설정값 및 상승율을 정의 할 수 있다.
다른 마이크로프로세서가 채용될 수 있지만, 예시된 실시예에 있어서, 온도 하부시스템(68)은 마이크로프로세서(84)와 함께 사용하기 위한 DRAM(86)을 더 포함한다. 예를들면, 예시된 실시예에 있어서, 온도 하부시스템(68) 제어기 유니트는 4메가 바이트의 DRAM을 포함한다. 예시된 실시예의 온도 하부시스템(68) 제어기 유니트는 2메가 바이트 플래쉬 디스크(88), 이중포트 RAM(90), 16비트 아날로그/디지탈 변환기(92) 및 PC/104 버스(94)를 더 포함한다. 온도 하부시스템은 경험적으로 유도된 전기로와 웨이퍼의 모델로 로버스트 최적제어이론을 사용해서 구성된 다수의 다중변수 제어기(96, 98, 100 및 102)를 포함한다. 보다 상세하게는, 예시된 실시예에 있어서, 다중변수 제어기(96, 98, 100 및 102)는 H-무한 제어이론을 사용해서 구성된다.
예시된 실시예에 있어서, 제어 시스템(64)은 가스패널(48)과 처리순서 하부시스템(68) 사이에 연결된 가스패널 인터페이스(104)를 더 포함한다(도 3). 상기 가스패널 인터페이스(104)는 가스흐름 제어기(54), 가스밸브(52), 내부 또는 외부 토치(62), 압력 제어기(56), 보트로더(18) 등과 통신하는 인터페이스를 제어 시스템(64)에 제공한다. 또한, 상기 가스패널 인터페이스(104)는 열반응로용으로 다수의 하드웨어 안전 인터락(interlock)(예를들면, 적절한 산소 대 수소 비율로 수소흐름에 대한 안전성을 확보하고, 토치(62)로부터의 화염을 감지하는 등의 역할)을 포함한다.
예시된 실시예에 있어서, 제어 시스템(64)은 서모커플(36, 42 및 44)과 온도하부시스템(68) 사이에 연결된 온도 인터페이스를 더 포함한다(도 4). 보다 상세하게는, 예시된 실시예에 있어서, 상기 온도 인터페이스는 서모커플 증폭기 인터페이스 기판(106)을 포함한다. 예시된 실시예에 있어서, 온도 하부시스템은 최대 2개의 서모커플 증폭기 인터페이스(하나는 스파이크 및 프로파일 서모커플(36 및 42) 측정용이고, 서모커플 장착 웨이퍼가 채용된다면, 다른 하나는 서모커플 장착 웨이퍼(44)의 서모커플(46) 측정용이다)와 통신한다. 예시된 실시예에 있어서, 제어 시스템(64)은 가열소자(30)과 온도 하부시스템(68) 사이에 연결된 소자점화 인터페이스(108)를 더 포함한다(도 4). 소자점화 인터페이스(108)는 각각의 정의된 가열영역(32)용의 하나의 점화기판을 포함한다. 이들 점화기판은 전압 파형의 영점 교차 순간에 전력을 제공 또는 중지하는 영점 스위칭 기술을 사용한다.
제어기(96, 98, 100 및 102)의 설계과정이 도 11에 상세히 예시된다.
특성화 제어모드(도 6 및 도 11의 단계(110))에 있어서, 열반응로의 열역학 특성은 랜덤 또는 다른 자극 순서(도 5)를 사용한 여기동안 전력 설정값 뿐만 아니라 스파이크, 프로파일 및 웨이퍼 온도를 사용해서 모델링 된다. 예시된 실시예에 있어서, 의사랜덤 2진 시퀀스(PRBS)가 채용된다. 보다 상세하게는, 특성화 제어모드(도 6)에 있어서, 열반응로는 최대 동작온도에 이르게 되고, 이후 열반응로 내에서 점차적인 온도 요동을 일으키는 의사랜덤 2진 시퀀스를 사용해서 교란된다. 단계(112a-d)에 있어서, 모델은 교란에 의해 발생된 온도변화에 기초하여 만들어진다. 예시된 실시예에 있어서, 모든 모델은 선형 최소제곱 최소거리 시스템 인식기술(linear least squares minimum distance system identification technique)을사용해서 유도된다. 예시된 실시예에 있어서, 모든 모델은 상태 공간 형(state-space form)으로 표현되고 실시된다.
예시된 실시예에 있어서, 두 가지 형태의 모델(오프라인 및 온라인. "오프라인 모델"은 제어 시스템 설계용으로 만들어진 모델을 의미한다. "온라인 모델"은 실제적인 반도체 웨이퍼(28)를 처리하는 열반응로(12)의 작동동안 활성화되는 모델을 의미한다)이 만들어진다.
예시된 실시예에 있어서, 세 개의 오프라인 모델(전력 설정값 대 스파이크 서모커플 모델; 스파이크 서모커플 대 프로파일 서모커플 모델; 및 프로파일과 스파이크 서모커플 대 서모커플 장착 웨이퍼 모델)이 개발된다. 각 오프라인 모델은 온도 하부시스템 내의 제어기(96, 98, 100 및 102)를 설계하는데 사용된다.
예시된 실시예에 있어서, 단일 온라인 모델(114)은 열반응로(12)의 동작 중 웨이퍼 온도를 측정하도록 개발된다. 온라인 모델(110)은 스파이크 및 프로파일 서모커플(36 및 42)로부터의 실제온도 측정값을 사용해서 웨이퍼의 실제 온도를 예측한다. 전체 열반응로(12)의 모델링이 복잡하고 시간에 따른 변동 및 유지 동작에 매우 민감하기 때문에, 웨이퍼 온도와 측정된 프로파일 및 스파이크 온도 사이의 관계에 대한 단순 모델링은 동적인 온도 변화동안 웨이퍼 온도의 정확한 표시값을 제공하도록 수행된다. 또한, 정상상태 조건하에서 프로파일 온도는 웨이퍼(28)의 실제 온도를 표시하는 것으로 본다.
모델링 이후에, 단계(116a, 116b 및 116c)(도 11)에 있어서, 세 가지 오프라인 모델은 세 개의 개별적이고 고유한 제어기(스파이크 제어기(96), 프로파일 제어기(98) 및 웨이퍼 제어기(110))를 만드는데 사용된다. 스파이크 제어기(96)는 스파이크 설정값과 입력값으로서의 스파이크 서모커플 측정값 간의 차이를 사용해서, 이후 스파이크 설정값을 스파이크 제어기(96)에 출력한다. 웨이퍼 제어기(100)는 웨이퍼 설정값과 입력값으로서의 온라인 웨이퍼 온도 모델의 예측값 간의 차이를 이용해서, 이후에 프로파일 설정값을 프로파일 제어기(98)에 출력한다. 예시된 실시예에 있어서, 각 제어기(96, 98 및 100)는 H-무한 로버스트 최적 제어이론을 사용해서 설계된다. 보다 상세하게는, 예시된 실시예에 있어서, 이들 각각의 제어기는 다중변수 제어기인데 가열 영역간의 다중변수의 상호작용은 바람직한 상승율 또는 설정값에 대한 개선된 온도응답을 제공하는 데 고려사항이 된다.
제어기(96, 98 및 100)의 설계는 이후 보다 상세히 기술될 것이다. 제어기(96, 98 및 100)는 인식 실험으로부터 수집된 데이터를 사용해서 설계된다. 설계 과정은 두 개의 주요 단계(시스템 인식 및 제어기 설계)로 구성된다. 바람직한 실시예는 모델링과 제어기 설계시에 MATLABTM및 SIMULINKTM와 같은 고성능 수치해석 소프트웨어를 채용한다.
시스템 인식 또는 특성화
시스템 인식 또는 특성화 단계는 알고 있는 온도 입력값에 대한 프로세서 온도 응답특성의 경험적 측정을 수반한다. 상기 응답은 스파이크 서모커플(36), 프로파일 서모커플(42) 및 온도감지 웨이퍼(46)에 의해 측정된다. 상기 측정된 응답 데이터는 웨이퍼의 실제 공정동안 측정될 수 있는 스파이크 및 프로파일 서모커플 온도에 기초한 웨이퍼 온도의 예측 표시값을 제공하는 모델 또는 모델들을 달성하기 위한 적절한 분석 기술을 사용해서 분석된다. 그러나, 필요한 시스템 열 응답 특성을 달성하기 위해서, 실제 동작 공정이 시작되기 전에 적어도 이 시점에서 개별 특성 상태 내에서 수행되어야 하는 온도 감지 웨이퍼(46) 또는 다른 측정기술을 사용하는 것이 필요하다.
특성화 정보를 유도하기 위한 바람직한 기술은 온도 응답특성을 반영하는 시스템 평가 파라미터를 얻기 위한 최소제곱 파라미터 평가 알고리즘의 사용을 포함한다. 이 단계의 주요 목적은 입출력 데이터 및 모델의 신뢰성을 평가하는 불확실 경계 평가를 기술하는 시스템 모델의 인식에 있다. 이 목적을 위해서, 일반 상태-공간 모델에 의해 기술되는 시스템을 고려한다:
= Ax + Bu ; y = Cx + Du
여기서 x는 상태벡터이고, u는 m개의 입력값을 갖는 입력벡터이며, y는 n개의 출력값을 갖는 출력벡터이다. 행렬 A는 n×n차원을 갖는다. 행렬 B는 n×m차원을 갖는다. 행렬 C는 m×n차원을 갖는다. 행렬 D는 m×m차원을 갖는다. 상기 행렬의 계수는 모델의 성공적 동작을 최적화하기 위해 개발된 특정 모델용으로 유도되고 잠재적으로 조정되는 파라미터이다.
(A, C)는 관측가능(상태 공간식의 모든 모드는 출력단에서 관측될 수 있다)하다고 생각되며, 상기 모델은 다음과 같이 기술될 수 있다:
=(A-LC)x+(B-LD)u+Ly ; y= Cx+Du
여기서 A-LC는 허비쯔(Hurwitz)행렬(Kailath, T., "Linear System," Prentice-Hall, Englewood Cliffs, NJ,(1980) 같은 제어이론에 관한 다양한 문헌을참고)이다.
이것은 상기 선형 시스템이 다음과 같이 기술될 수 있음을 의미한다:
=Fx+θ1u+θ2y ; y=qx+du
여기서 (F,q)는 관측가능하고, F는 허비쯔 행렬이고, θ1, θ2및 모델의 조절가능한 파라미터를 나타내는 행렬이다.
이전 식의 라플라스 변환을 취함으로써, 다음을 얻는다:
sIx(s)-x(0)=Fx(s)+θ1u(s)+θ2y(s); y(s)=qx(s)+du(s)
여기서, I는 항등행렬이고, x(0)는 상태의 초기조건이다.
다음에, 상기 상태식을 풀면 다음을 얻는다:
x(s)=(sI-Fx)-11u(s)+θ2y(s)+x(0)]
이후에 상기 상태식을 사용해서 출력값을 풀면 다음을 얻는다:
x(s)=q(sI-Fx)-11u(s)+θ2y(s)+x(0)]+du(s)
공통 항으로 정리하면, 상기 식은 다음이 된다:
y(s)=[q(sI-Fx)-1θ2+d]u(s)+q(sI-F)-1θ2y(s)+q(sI-F)-1x(0)]
모두는 스칼라 전달함수이므로, 그들을 이항하면 다음을 얻는다;
y(s)=θ1 T(sI-FT)-1qTu(s)+θ2T(sI-FT)-1qTy(s)+du(s)+x(0)T(sI-FT)-1qT
단일 입력, 단일 출력 시스템에 있어서, 최종식은 편의상 파라미터 평가 선형모델 형식으로 표기된다:
y=θT W
여기서 θ는 도저히 알 수 없는 초기 조건 x(0)뿐만 아니라, 조정가능한 파라미터인 θ1, θ2, d를 포함하는 벡터인 반면, W는 신호(sI-FT)-1qTu, (sI-FT)-1qTy, u 및 (sI-FT)-1qT를 포함한다.
따라서, 기본 시스템 인식 단계는 다음의 하부 단계를 포함한다;
1. 입출력 시간 순서 발생하는 실험 수행 단계.
2. 필터된 신호 w 계산 단계.
3. 선형 모델 y=θTw의 파라미터 평가 단계.
4. 인식된 시스템의 해당 상태 공간 대표 [A, B, C, D] 계산 단계.
5. 필요하다면, 인식된 시스템의 차수 감소 단계.
6. 인식된 시스템에 대한 에러 경계(불확실성) 계산 단계
시스템 인식 단계의 이들 하부 단계는 이하에 더 상세하게 기술될 것이다. 인식 또는 특성화 실험은 열반응로 파라미터의 신뢰할 수 있는 인식을 허용하도록 열반응로에 충분한 여기를 제공하는 입력신호의 발생에 의존한다. 여기 신호의 몇 가지 형태는 문헌(예를들면, Ljung, L., System Identification: Theory for the User, Prentice-Hall, Englewood Cliffs, NJ,(1980) 참고)에 제안되었다. 설계 단순성의 이유로, 예시된 실시예는 바람직한 여기를 제공하도록 의사랜덤 2진 시퀀스(PBRS)를 채용한다. 또한, 여기의 크기를 선택하는데 있어서 대규모의 실험 요구조건을 피하기 위해서, 인식은 폐루프로 수행된다. 즉, 인식된 열반응로에 관한 선행 정보는 단순한 제어기(예를들면, 비례 또는 비례-적분)를 설계하는데 사용된다. 이후에 의사랜덤 2진 시퀀스 여기는 제어기에 기준 입력값(설정값)으로 제공되고, 결과적인 제어 입력값 및 열반응로 출력값이 측정된다.
그러한 접근 방법이 예비 제어기 설계를 필요로 한다는 점에서 제한적으로 보이지만, 실제적으로 이러한 필요조건이 너무 심한 것은 아니다. 통상적으로, 열반응로의 기초 원 모델이 사용가능 또는 용이하게 유도될 수 있거나, 그것은 흔한 경우이기 때문에, 단순한 제어기는 이미 사용되고 있다. 실제적인 경험은 인식 결과가 그것의 대역폭 및 성능이 신뢰할 수 있는 한 사용되는 제어기에 너무 민감하지는 않음을 나타낸다. 물론, 이것은 사실이 아니라면, 인식 및 제어기 설계 단계는 적당한 성능이 얻어질 때까지 다음 인식 실험에서 새로운 제어기를 사용해서 반복될 수 있다.
의사랜덤 2진 시퀀스의 설계는 더 많은 주의를 요구한다. 이런 형태의 여기는 열반응로의 정상 동작조건에 관한 기준 명령 내에서 단계 변화의 순서로서 사용된다. 의사랜덤 2진 시퀀스는 두 벡터에 의해 정의될 수 있다:
[t1, t2, ∧],[m1, m2, ∧]
여기서 제 1 벡터는 스위칭 시간을 나타내고, 제 2 벡터는 정상 설정값으로부터의 편차(±최대 편차 레벨)를 표시한다. 의사랜덤 2진 시퀀스 내의 불규칙성은 ti+1-ti가 랜덤 수인 경우 스위칭 시간에 등록된다. 각 펄스의 최대 레벨과 최소 및 최대 시간은 의사랜덤 2진 시퀀스의 설계 파라미터이다. 일반적으로 상기 레벨은 우수한 신호 대 잡음비(SNR)를 제공하도록 충분히 커야 하지만 명목상의 동작조건으로부터 큰 편차를 피하도록 충분이 작도록 선택되어야 하고, 그것을 항상 존재하는 플랜트 비선형성으로부터 간섭을 포함시킬 것이다. 이것은 원 제어기가 대략 PRBS레벨 내의 만족스러울 정도의 플랜트 응답을 유지할 것이기 때문에 폐루프 인식이 잇점을 갖는다. 각 의사랜덤 2진 시퀀스 펄스 시간은 상기 결과 순서가 반응로 모델이 신뢰할 수 있어야 하는 바람직한 폐루프 대역폭(및 폐루프 크로스오버) 부근의 대부분의 전력을 갖도록 해야된다. 결국, 의사랜덤 2진 시퀀스의 총 길이는 메모리 및 실험시간 제한요소에 의해 규정되지만, 그것은 충분한 수의 주파수에서 플랜트 응답의 관찰 및 잡음 평균화를 하도록 충분히 길어야 한다. 대략, 경험법칙에 따라 열반응로의 응답이 7-10 PRBS 펄스임을 관찰을 통해서 알게 되었고 그 기간은 선행규정에 따라 선택된다.
다중변수 시스템 인식에 있어서, 다중 PRBS 입력은 각 채널에 하나씩 만들어져야 한다. 이들은 상기 결과 역 벡터가 잘 조정된 공분산 행렬을 갖는다는 의미에서 서로 독립적이 되도록 더 제한되어야 한다. 이 필요조건은 긴 순서가 사용될 때 문제가 없다. 그러나, 실제적으로 상기 순서의 제한된 길이는 이 가정의 유효성이 체크되어야 하고, 조건이 실패하면 PRBS는 재생성되어야 함을 제시한다.
앞에서 설명된 것처럼, 표준 최소제곱 알고리즘이 상기된 식에 따라서 상태 공간 행렬의 엔트리를 계산하는데 사용되는 선형모델의 파라미터를 평가하는데 사용된다. 이것에 추가해서, 파라미터 평가 알고리즘은 주어진 주파수 영역(가중치된 최소제곱) 내의 플랜트 특성의 적합성을 강조하는 그것의 신뢰성 및 그것의 수율 평가를 개선하기 위해 변형된다. 후자는 바람직한 주파수 응답을 갖는 필터를 가지고, 입출력 데이터를 수치적으로 필터링함으로써 직행 방식으로 달성된다. 예를들면, 저역 통과필터는 고주파 잡음에 의해 왜곡된 데이터의 경우에 사용될 수 있고, 반면 대역 통과필터는 바람직한 폐루프 대역폭 주변의 인식된 모델의 신뢰성을 강화하는데 사용될 수 있다. 이러한 필터의 인식 및 가능한 재설계의 성공여부는 이어서 설명되는 "불확실성" 경계에 기초해서 판정된다. 어떤 반복이 파라미터 평가 단계내에 필요할 수 있지만, 이들이 인식 실험의 반복을 꼭 필요로 하지는 않음은 강조되어야 한다.
또한, 평가기의 수치적 신뢰성을 개선하기 위해서, 단일 치 분해 방법(singular value decomposition method)은 최소 제곱해를 계산하는데 채용된다. 이에 추가해서, 상기 평가 단계는 실시예에서 다음의 문제를 푼다:
Min∥Hθ∥, ∥y-θw∥≤(1+ρ)ELS을 가정
여기서 H는 가중치 행렬이고, ρ는 임계 파라미터이며, ELS는 최소 제곱해에 해당하는 에러이다. 이 문제는 폐형식으로 용이하게 풀릴 수 있는 반면, 그것의 해는 흥미있는 특성들을 지닌다. 즉, 충분히 "작은"(예를들면, O.5) 임계 파라미터를 선택함으로써, 평가 에러에 의해 측정된 평가 파라미터의 품질이 기껏해야 (1+ρ) 요소에 의해 제어된 방법에 따라 저하된다. 이것은 더욱 민감한 평가 목적을 반영하도록 평가된 파라미터를 조정하는 유연성을 허락한다. 예를들면, 가중치 행렬 H를 적당히 선택함으로써, 상기 최소화의 해는 인식된 모델의 연결성 및/또는 안정성 특성을 강조할 수 있다. 이러한 능력은 잡음이 반응로에 실제보다 더 많이 연결된 것으로 보이게 하는 잡음성 데이터의 경우에 잇점이 있는 것으로 알려졌다. 이외에, 반응로 모델이 제어기 설계를 단순화하는 것과 반응로 변동에 대한 그것의 강건함을 개선할 수 있는 것을 가능한 분리되도록 하는 것이 바람직하다.
이후에 얻어진 반응로 모델은 표준 감소 알고리즘(참고자료: Chiang, R. and M. Safonov, Robust Control Toolbox: User's Manual(For use with MATLAB), The Mathworks Inc., Natick, MA, 1992) 및 그것의 참고문헌들을 사용해서 최소에 대해 체크되고, 상기 모델의 신뢰성은 나머지의 분석에 의해서 정량화된다. 이러한 단계는 모델의 신뢰성을 정량화하고 제어기 설계단계 동안 만족되어야 하는 구속을 제공한다. 특히, 평가 에러에 대한 분광 분석을 수행하는 것은 효과적인 증식 및 귀환 불확실성(Alexander, C. and K.S. Tsakalis, "Control of an Inverted Pendulum: A Classical Experiment Revisited," Proc. 1995 Western Multiconference, Society for computer simulation, Las Vegas, 1995 참고)의 평가치를 제공한다. 이러한 평가치는 폐루프 감도 및 보상 감도는 설계된 제어기가 실제 반응로를 안정화시키도록 안전해야만하는 경계를 나타낸다. 계산은 이들 경계의 유일한 평가를 제공하는 것이 지적되어야 한다. 엄밀히 말하면, 폐루프 안정성은 보장될 수 없다. 그러나, 이들 경계와 성공적인 제어기 설계 사이에 매우 강한 상호관계가 존재함이 나타난다.
제어기 설계
제어기 설계 절차의 핵심은 제어기 감소 및 웰 포즈드니스(well-posedness)체크에 의해 증가된, H∞설계 해결법(참고자료: Chiang, R. 및 M. Safonov의Robust Control Toolbox: 사용자 매뉴얼(MATLAB용), The Mathworks Inc., Natick, MA, 1992)이다. 보다 상세하게는, 시스템 식별 단계를 초래하는 불확정 한계는 감도 및 상보 감도 가중치를 한정하는데 사용된다. 식별된 반응로와 함께 이들은 적분기에 의해 증분되고, 표준 H∞ 연산 소프트웨어에 의해 요구되는 포맷으로 이른바 초대형 플랜트(super-plant)를 형성한다. 통상적으로, 그 결과 H∞제어기는 고차수로 구성되고 그 성능에 대해 본질적으로 부적절하고 그 신뢰도, 강도 및 이산화(discretization) 특성을 잠재적으로 감쇄시킬 수 있는 가중치에서 기인된 상태를 내포한다. 이런 이유로, 감축이 3 단계들에서 이행된다.
제 1 단계는 실예로, 폐루프 대역폭 이상의 2 차수 크기인 매우 빠른 모드들에 상응하는 상태들을 제거하는 것이다. 통상적으로, 이 이산 시간 근사치가 고 샘플링 비율을 필요로 하기 때문에, 이런 모드들은 제어기 안정도 또는 성능에 부적절하지만 이 모드들은 디지털 이행의 문제를 만들지는 않는다. 다음 단계는 전체 폐루프 동작에 거의 도움이 되지않는 매우 느린 모드들을 제거하는 것이다. 이런 모드들은 통상적으로 jω-축 인접한 "폴-제로(pole-zero) 소거"와 연관되며, 유지된다면, 적지만 트래킹 이행에 있어 서서히 감쇄하는 에러들이 나타나는 원인이 될 수 있다. 최종적으로, 표준 모델 차수 감소(가중되거나 또는 가중되지 않음)가 극소한 도움을 주는 다른 제어기 상태를 제거하도록 이행된다. 감소 단계는 가중선택 단계를 단순화하기 위해 지불된 가격이다. 비록, 감소가 어떤 큰 성능저하도 발생시키지 않는 것으로 입증될지라도, 이런 입증은 비교적 간단하고, 표준 연산툴, 실예로 (참고자료: Chiang, R. 및 M. Safonov의Robust Control Toolbox: 사용자 매뉴얼(MATLAB용), The Mathworks Inc., Natick, MA, 1992, 및 Zhou, K., J. Doyle 및 K. Glover,Robust and Optimal Control, Prentic-Hall, Englewood Cliffs, NJ, 1996)을 사용하여 용이하게 이행될 수 있다.
상기 절차는 반응로의 선형 모델을 사용하여 우수한 성능을 나타내는 적절한 차수의 제어기를 계산한다. 즉, 실제 시스템이 동작점 근접 둘레에서 유사 성능을 나타내도록 요구된다. 그러나, 성공적인 제어기 이행을 위해서, 모든 액츄에이터, 실예로, 가열 소자에 변함없이 존재하는 모든 포화 비선형성이 고려되어야 한다. 이런 포화에 의해 원인이 되는 주요 문제점은 이른바 적분기 와인드업(wind-up)이다. 전형적 반와인드업(anti-windup) 수정은 제어기 출력(제어 입력)이 포화 레벨을 초과할 경우 불감대(dead-zone) 형태 비선형성에 의해 동작되는 보상기 주변의 안정화 피드백의 사용을 포함한다(참고자료: Astrom, K.J. 및 B. Hagglund, PID Controllers:Theory, Design and tuning, ISA Research Triangle Park, NC, 1994).
다변가능한 경우에서 이것을 성취하기 위한 단순한 방법은,
v = Lud(u)
로 계산되는 보조 신호 "v"를 보상기로 피드백하는 것으로, 여기서 u는 제어 입력이며, d(u)는 포화 레밸을 양자화하는 불감대형 신호(제어가 포화되지 않은 경우, d(u)=0)인 스칼라이고, L은 제어기의 폐루프 시스템과 같은 행렬이득이며 L은 안정하다. L의 효과적인 설계는 옵저버 이득(참고자료: Anderson, B.D.O 및 J.B. Moore,Optimal Control: Linear Quadratic Methods, Prentice-Hall, Englewood Cliffs, NJ, 1990)으로 얻어질 수 있다. 이런 경우, 제어기 옵저버 이득 시스템은 제어기 출력이 포화 비선형성으로 구성된 제어기로 이루어지는 시스템의 출력을 트랙하도록 강제하는 옵저버의 구성으로 잘 해석될 수 있다. 제어기의 최소성과 더불어 이것은 그 상태를 보장하고 특히 적분기들과 관련된 것들은 무제한으로 성장할 수 없다. 더우기, 옵저버 이득의 설계에 있어, 제어기의 지향성 특성들은 가능한 만큼 보존될 수 있어 제어기 포화는 선형 설계에 의해 달성된 출력 분리를 완전히 소멸시킬 수 없다.
결국, 제어기 설계 절차의 마지막 단계로서, 평가 단계가 액츄에이터 포화와 더불어 이산화 제어기 및 식별 반응로의 비선형 시뮬레이션을 통해 이행된다. 이 평가는 가능 설계한도를 밝히고 제어기 능력, 실예로 도달가능한 램프 비율에 관하여 평가할 경우에 매우 유용했다. 실제 경험은 폐루프의 모의실험 동작이 확실한 실제 동작의 우수한 근사치임을 나타낸다.
설계 이후, 스파이크, 프로파일 및 웨어퍼 제어기(96, 98 및 100)들 및 온라인 웨이퍼 모델은 단계(118)에서 온도 하부시스템(68)의 하드웨어에 제공된다.
예시된 실시예에 있어, 제어 모드 논리회로는 소자 제어 모드를 한정하는 소자 제어 모드 논리회로(도 7), 기본 제어 모드를 한정하는 기본 제어 모드 논리회로(도8), 다이나믹 제어 모드를 한정하는 다이나믹 제어 모드 논리회로(도9), 및Dt 제어 모드를 한정하는 Dt 제어 모드 논리회로를 포함하는 다른 유효 제어 모드 논리회로들 중에서 선택가능하다. 각각의 이 제어 모드 논리회로들은 제어기 (96,98,100)들 중 단 하나 또는 그 조합체로 한정된다.
보다 상세하게는 온도 하부시스템(68)은 소자 제어 모드(도7)용 스파이크 제어기(96)를 채용한다. 온도 하부시스템(68)은 특성화 제어 모드(도6)용 의사랜덤 2진 시퀀스가 도입된 스파이크 제어기(96)를 채용한다. 온도 하부시스템(68)은 기본 제어 모드(도8)용 프로파일 제어기(98) 및 스파이크 제어기(96)의 조합체를 채용한다. 온도 하부시스템(68)은 다이나믹 제어 모드(도9)용 웨이퍼 제어기(100), 프로파일 제어기(98) 및 스파이크 제어기(96)의 조합체를 채용한다. 온도 하부시스템(68)은 Dt 제어 모드(도10)를 제공하기 위해 프로파일 제어기(98) 및 스파이크 제어기(96)를 가지는 비선형 Dt 제어기(102)를 채용한다.
사용자는 다수의 단계들을 포함하며 각 단계의 제어 모드들 중 어느 하나라도 사용하는 레서피를 제공할 수 있다. 실예로, 사용자는 주어진 단계의 반도체 공정의 한 제어 모드에서 다른 제어 모드로 전환하는 레서피를 제공할 수 있다. 한 제안된 해결법은 온도의 상승 이전에, 전기로(furnace) 체크 및 보트(18)로부터 밀어 넣어지는 동안 기본 제어 모드를 채용하고, 온도 상승 및 온도 안정화 동안 웨이퍼 제어 모드를 채용하며, 웨이퍼 공정 단계들 동안 Dt제어 모드를 채용하고, 보트가 밀려나가는 동안 기본 제어 모드를 채용하는 것이다. 다른 제안된 해결법은 모든 공정 단계들 동안 기본 제어 모드를 사용하는 것이다.
소자 제어 모드는 통상적으로 유지 모드(실예로, 소자들의 가열을 위한) 이다. 소자 제어 모드에서, 스파이크 제어기는 스파이크 서모커플 온도를 기초로 제어한다. 상기 모드는 웨이퍼들의 일반 공정에 사용되지 않는다.
기본 제어 모드(도8)는 불이행(default) 동작 모드이다. 기본 제어 모드에서, 프로파일 제어기(98)는 프로파일 에러를 기초로 스파이크 설정값 제어 신호를 제공한다. 프로파일 에러는 프로파일 온도 설정값 및 프로파일 서모커플(42)들에 의한 프로파일 온도 측정값들 사이의 편차를 기초로 한다. 스파이크 제어기(98)는 스파이크 에러를 기초로 열반응로에 대한 전력을 제어한다. 스파이크 에러는 스파이크 설정값 및 스파이크 서모커플(36)에 의한 스파이크 온도 측정값들 사이의 편차를 기초로 한다. 기본 제어 모드는 개선된 처리 균일성 및 보다 빠른 안정화 시간들에 기인한 사이클 시간 감소를 초래하는 정확한 제어를 제공한다. 기본 제어 모드 논리 회로는 프로파일 및 스파이크 제어기들을 함께 캐스케이딩하여 동시에 사용한다.
프로파일 서모커플들은 부하 가장자리의 온도를 맞추지 못한다. 사용자들이 이를 보상하기 위해 이 레서피들을 변화시키는게 바람직하지 않다. 한가지 이 문제에 대한 해결책은 부하의 가장자리의 온도와 보다 정확하게 맞추어진 프로파일 서모커플들에 의해 발생된 판독치를 초래하는 프로파일 서모커플들을 축소하는 것이다. 다이나믹 또는 웨이퍼 제어 모드(도9)는 프로파일 서모커플들의 수정 또는 사용자 레서피의 조정을 요구하지 않고도 상기 문제점에 대한 해결책을 제공한다.
다이나믹 제어 모드에 있어, 웨이퍼 제어기(100)는 웨이퍼(28)들의 온도의 예정값 및 평가값을 제공하며, 소기의 또는 레서피 웨이퍼 온도에 근접하는웨이퍼(28)를 위한 온도를 얻도록 제어한다. 다이나믹 제어 모드는 온도 상승 및 안정화 단계들 동안 가장 유리하게 채용될 수 있다. 다이나믹 제어 모드는 상기 모델링 동안 서모커플 장착 웨이퍼(44)들의 선행 사용을 포함한다. 모델링 이후에, 제어 시스템은 사용되는데 반해, 다이나믹 제어 모드는 온라인 웨이퍼 온도 평가 모델(114)을 사용하여 소정 웨이퍼 온도를 기초로 열반응로(12)를 제어한다. 온라인 웨이퍼 온도 평가 모델(114)은 스파이크 및 프로파일 서모커플(36,42)들로부터의 측정값들을 기초로 웨이퍼 온도를 예측한다. 좀더 상세하게는, 웨이퍼 온도가 스파이크 및 프로파일 서모커플(36,42)들로부터의 측정값, 서모커플 장착 웨이퍼(44)(웨이퍼 온도를 대표함)들에 의해 얻어진 측정값들 사이의 관계 및 모델링 동안 프로파일 및 스파이크 서모커플(36,42)들에 의해 얻어진 측정값들을 기초로 예측된다.
다이나믹 제어 모드에 있어, 웨이퍼 제어기(100)는 웨이퍼 에러를 기초로 프로파일 설정값을 제공한다. 웨이퍼 에러는 프로파일 온도 설정값 및 프로파일 서모커플(42)들 에 의한 프로파일 온도 측정값들 사이의 편차를 기초로 한다. 프로파일 제어기는 프로파일 에러를 기초로 스파이크 설정값 제어 신호를 제공한다. 프로파일 에러는 웨이퍼 제어기(100)에 의해 생성된 프로파일 온도 설정값 및 프로파일 서모커플들에 의한 프로파일 온도 측정값들 사이의 편차를 기초로 한다. 스파이크 제어기는 스파이크 에러를 기초로 열반응로로의 전력을 제어한다. 스파이크 에러는 스파이크 설정값 및 스파이크 서모커플(36)들에 의한 스파이크 온도 측정값들 사이의 편차를 기초로 한다. 다이나믹 제어 모드는 함께 캐스케이드된 스파이크 제어기(96), 프로파일 제어기(98) 및 웨이퍼 제어기(100)를 사용한다.
Dt 또는 서멀버짓 모드(thermal budget mode)에 있어, Dt 제어기(102)는 온도 노출 또는 제공된 열 에너지를 측정하여 설정값 또는 소기의 에너지에 관련하여 에너지를 제어한다. 소기의 에너지에 따라 Dt값들을 유지하도록, 프로파일 서모커플(42)들로부터의 측정값들을 사용하여, k가 볼츠만 상수이고, 프로파일 서모커플(42)들을 사용하여 측정된 온도가 T인, e(-2/kT)의 적분을 취하여 서멀버짓이 제어된다. 계산은 열반응로로 전달된 에너지에 의해 이루어져 열반응로에 전달된 에너지가 제어된다. 서멀버짓 모드는 일정 Dt 값들을 부하 밑으로 유지하여 공정이 계속되도록 유지하기 위해 임계 공정 단계들 동안 서멀버짓을 제어하는데 유리하게 사용된다.
Dt 제어 모드(도 10)에 있어, Dt 제어기(102)는 에너지 에러를 기초로 프로파일 설정값을 제공한다. 에너지 에러는 에너지 설정값 및 측정된 에너지 사이의 편차에 기초한다. 프로파일 제어기(98)는 프로파일 에러를 기초로 스파이크 설정값 제어 신호를 제공한다. 프로파일 에러는 Dt 제어기에 의해 생성된 프로파일 온도 설정값 및 프로파일 서모커플(42)들에 의한 프로파일 온도 측정값들 사이의 편차를 기초로 한다. 스파이크 제어기(96)는 스파이크 에러를 기초로 열반응로에 제공된 전력을 제어한다. 스파이크 에러는 스파이크 설정값 및 스파이크 서모커플(36)들에 의한 스파이크 온도 측정값 사이의 편차를 기초로 한다.
법규를 준수하여, 본 발명은 구조적이고 방법적인 특징들에 관해 보다 더 또는 보다 덜 상세한 용어들로 기술되었다. 그러나 본 발명은 도시되고 기술된 특정특징에 한정되지 않는데, 이는 본문에 기술된 수단이 본 발명을 효과적으로 적용하는 바람직한 형태를 포함하기 때문임이 이해된다. 그러므로, 본 발명은 상응하는 학설에 따라 적절하게 이해되는 첨부된 청구항들의 적절한 범위내에서 어떤 형태들 또는 수정들로도 청구된다.

Claims (66)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 공정튜브(14)를 가열시키는 전원에 의해 전력이 공급되는 가열 소자(30)를 포함하는 열반응로를 제어하는 방법에 있어서,
    서모커플 장착 웨이퍼(44,46)를 열반응로(12)에 제공함으로써 열반응로의 다이나믹 열 특성을 모델링하는 단계;
    자극 시퀀스를 사용하는 가열 소자를 제어하여 열반응로를 교란하는 단계;
    공정튜브내의 프로파일 온도를 조절하는 단계;
    가열 소자 가까이 또는 가열 소자의 스파이크 온도를 조절하는 단계;
    교란에 의해 생성된 온도 변화를 기초로, 전력 대 스파이크 온도의 모델, 그리고 스파이크 온도 대 프로파일 온도의 모델을 포함하는 모델들을 개발하는 단계
    를 포함하는 것을 특징으로 하는 방법.
  41. 제 40 항에 있어서, 프로파일과 스파이크 온도 판독치 대 서모커플 장착 웨이퍼 판독치의 모델을 개발하는 단계를 더 포함한는 것을 특징으로 하는 방법.
  42. 제 40 항에 있어서, 프로파일 및 스파이크 서모커플 판독치를 사용하여 프로파일 및 스파이크 온도를 조절시, 상기 모델링 단계 이후에 제어모드는 다수의 유효 제어 모드들 중에서 선택되는 것을 특징으로 하는 방법.
  43. 제 42 항에 있어서, 상기 유효 제어 모드들 중 한 모드는 상기 열반응로에 제공된 에너지가 측정되어 소기의 에너지에 대하여 제어되는 서멀버짓(thermal budget) 제어 모드인 것을 특징으로 하는 방법.
  44. 제 42 항에 있어서, 상기 유효 제어 모드들 중 한 모드는 서멀버짓 제어 모드이고, 이 모드에서 프로파일 서모커플들로부터의 측정값들을 사용하고, k는 볼츠만 상수이고 T가 상기 프로파일 서모커플들을 사용하여 측정된 온도인 e(-2/kT)의 적분을 취하여, 열반응로에 제공된 에너지가 소기의 에너지에 관련하여 제어되는 것을 특징으로 하는 방법.
  45. 제 42 항에 있어서, 상기 유효 제어 모드들 중 한 모드는 다이나믹 제어 모드이고, 이 모드에서 가열 소자에 제공되는 전력은 소정의 웨이퍼 온도에 기초로 하여 제어되며, 웨이퍼 온도는 상기 스파이크 및 프로파일 서모커플들로부터의 측정값을 기초로 예측되는 것을 특징으로 하는 방법.
  46. 제 42 항에 있어서, 상기 유효 제어 모드들 중 한 모드는 기본 제어 모드이고, 이 모드에서 전력은 프로파일 서모커플 측정값들에 반응하여 상기 가열 소자에 제어 가능하게 제공되는 것을 특징으로 하는 방법.
  47. 제 40 항에 있어서, 시간에 대한 소기의 온도 및 시간에 대한 소기의 제어 모드들을 포함하는 레서피(recipe)를 수신하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  48. 제 47 항에 있어서, 상기 레서피에 따라 한 제어 모드로부터 다른 제어 모드로 스위칭하는 단계와, 다른 제어 모드들에서 온도를 다르게 제어하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  49. 제 42 항에 있어서, 열반응로의 온도는 동작 온도까지 선택적으로 상승되고, 상기 제어 모드들은 상기 온도가 상승된 이후 캐스케이드 제어를 포함하는 전시간 캐스케이드 제어를 제공하는 것을 특징으로 하는 방법.
  50. 제 46 항에 있어서, 상기 기본 제어 모드에서, 스파이크 제어기는 스파이크 서모커플 측정값들에 반응하여 동작하고, 프로파일 제어기는 프로파일 서모커플 측정값들에 반응하여 동작하며 상기 스파이크 제어기를 제어하는 것을 특징으로 하는 방법.
  51. 제 43 항에 있어서, 서멀버짓 모드에서, 스파이크 제어기는 스파이크 서모커플 측정값들에 반응하여 동작하고, 프로파일 제어기는 프로파일 서모커플 측정값들에 반응하여 동작하며 상기 스파이크 제어기를 제어하고, Dt제어기는 열반응로에 제공된 에너지를 측정하여 소기의 에너지에 관련하여 열 반응로에 제공된 에너지를 제어하며 상기 프로파일 제어기를 제어하는 것을 특징으로 하는 방법.
  52. 제 51 항에 있어서, 서멀버짓 모드에서, k는 볼츠만 상수이며 T는 상기 프로파일 서모커플들을 사용하여 측정된 온도인 e(-2/kT)의 적분을 취하여, 상기 프로파일 제어기를 제어하는 Dt제어기가 소기의 에너지에 관련하여 열반응로에 제공된 에너지를 제어하는 것을 특징으로 하는 방법.
  53. 제 45 항에 있어서, 제어 모드에서, 스파이크 제어기는 스파이크 서모커플 측정값들에 반응하여 동작하고, 프로파일 제어기는 프로파일 서모커플 측정값들에 반응하여 동작하며 상기 스파이크 제어기를 제어하고, 웨이퍼 제어기는 웨이퍼 온도를 예측하도록 온라인 웨이퍼 온도 평가 모델을 사용하여 동작하며, 상기 프로파일 제어기를 제어하는 것을 특징으로 하는 방법.
  54. 전원(33,34)에 의해 전력이 공급되는 가열 소자(30)를 포함하는 열반응로(12)를 제어하는 제어 유니트에 있어서,
    전원 대 스파이크 서모커플 판독값들의 모델을 포함하는 제 1 제어기(96); 및
    스파이크 서모커플 판독값 대 프로파일 서모커플 판독값의 모델을 포함하는 제 2 제어기(96,98)
    를 포함하는 것을 특징으로 하는 제어 유니트.
  55. 제 54 항에 있어서, 스파이크 및 프로파일 서모커플 판독값 대 서모커플 장착 웨이퍼 판독값의 모델을 포함하는 제 3 제어기(100)를 더 포함하는 것을 특징으로 하는 제어 유니트.
  56. 제 54 항에 있어서, 상기 열반응로(12)에 제공된 에너지를 측정하고 소기의 에너지에 관련하여 열반응로(12)에 제공된 에너지를 제어하는 서멀버짓 제어기(102)를 더 포함하는 것을 특징으로 하는 제어 유니트.
  57. 제 56 항에 있어서, 상기 서멀버짓 제어기(102)는 프로파일 서모커플들(42)의 측정값들을 사용하며, k는 볼츠만 상수이고 T는 상기 프로파일 서모커플들(42)을 사용하여 측정된 온도인 e(-2/kT)의 적분을 취하여, 소기의 에너지와 관련하여 상기 열반응로(12)에 제공된 에너지를 제어하는 것을 특징으로 하는 제어 유니트.
  58. 제 54 항에 있어서, 소정 웨이퍼 온도를 기초로 전력을 상기 가열 소자(30)에 제공하며, 웨이퍼 온도는 프로파일 서모커플(42) 및 스파이크 서모커플(36)의 측정값들에 기초로 예측되는 온라인 웨이퍼 모델을 가지는 다이나믹 제어기(100)를 더 포함하는 것을 특징으로 하는 제어 유니트.
  59. 제 54 항에 있어서, 상기 프로파일 서모커플(42)에 의한 측정값들에 반응하여 가열 소자(30)에 공급되는 전원을 제어하는 기본 제어 모드 논리회로(96,98)를 더 포함하는 것을 특징으로 하는 제어 유니트.
  60. 제 54 항에 있어서, 웨이퍼 온도를 예측하는 온라인 모델을 더 포함하는 것을 특징으로 하는 제어 유니트.
  61. 제 60 항에 있어서, 상기 기본 제어 모드 논리회로(96,98)는 상기 스파이크 서모커플(36)에 의한 측정값들에 반응하여 상기 가열 소자(30)에 공급되는 전력을 제어하는 스파이크 제어기(96) 및 상기 프로파일 서모커플(42)에 의한 측정값들에 반응하여 상기 스파이크 제어기(96)를 제어하는 프로파일 제어기를 포함하는 것을 특징으로 하는 제어 유니트.
  62. 제 56 항에 있어서, 상기 제 1 제어기(96)는 상기 스파이크 서모커플(36)에 의한 측정값들에 반응하여 가열 소자(30)에 제공된 전력을 제어하는 스파이크 제어기이며, 상기 제 2 제어기는 상기 프로파일 서모커플(42)에 의한 측정값들에 반응하는 스파이크 제어기(96)를 제어하는 프로파일 제어기(98)이고, Dt 제어기(102)는 상기 프로파일 제어기(98)를 제어하는 것을 특징으로 하는 제어 유니트.
  63. 제 56 항에 있어서, 상기 제 1 제어기는 상기 스파이크 서모커플(36)에 의한 측정값들에 반응하여 가열 소자(30)에 제공된 전력을 제어하는 스파이크 제어기(96)이며, 상기 제 2 제어기는 상기 프로파일 서모커플(42)에 의한 측정값들에 반응하는 스파이크 제어기(96)를 제어하는 프로파일 제어기(98)이고, Dt 제어기(102)는 상기 프로파일 제어기(98)를 제어하는 것을 특징으로 하는 제어 유니트.
  64. 제 58 항에 있어서, 상기 제어기(96,98,100)는 소기의 웨이퍼 온도를 기초로 전력을 가열 소자(30)에 공급하며, 웨이퍼 온도는 스파이크 서모커플(36)에 의한 측정값들에 반응하여 가열 소자(30)에 공급된 전원을 제어하는 스파이크 제어기(96)인 제 1 제어기와, 프로파일 서모커플(42)에 의한 측정값들에 반응하여 상기 스파이크 제어기(96)를 제어하는 프로파일 제어기(98)인 제 2 제어기를 지닌 프로파일 서모커플(42)과 스파이크 서모커플(36)의 측정값에 기초하여 예측되는 온라인 웨이퍼 온도 모델을 포함하며, 상기 웨이퍼 제어기(100)는 온라인 웨이퍼 온도 모델에 반응하여 프로파일 제어기(98)를 제어하는 것을 특징으로 하는 제어 유니트.
  65. 제 54 항에 있어서, 프로파일 서모커플(42) 및 스파이크 서모커플(36)에 연결된 다수의 선택가능한 제어 모드 논리회로(96,98,100,102)와 가열 소자(30)를 더 포함하는 것을 특징으로 하는 제어 유니트.
  66. 제 54 항에 있어서, 상기 레서피에 따라 가열 소자(30)를 제어하는 제 1 및 2 제어기(96,98)를 지닌 가열 레서피(82)를 수신하도록 연결된 입력 장치를 더 포함하는 것을 특징으로 하는 제어 유니트.
KR1019997006777A 1997-01-27 1998-01-27 모델에 기초한 반도체 열 처리기용 온도제어기 KR100359734B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/791,024 1997-01-27
US08/791,024 US5895596A (en) 1997-01-27 1997-01-27 Model based temperature controller for semiconductor thermal processors
US8/791,024 1997-01-27

Publications (2)

Publication Number Publication Date
KR20000070532A KR20000070532A (ko) 2000-11-25
KR100359734B1 true KR100359734B1 (ko) 2002-11-04

Family

ID=25152435

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019997006777A KR100359734B1 (ko) 1997-01-27 1998-01-27 모델에 기초한 반도체 열 처리기용 온도제어기

Country Status (8)

Country Link
US (1) US5895596A (ko)
EP (1) EP0954948A4 (ko)
JP (1) JP3775753B2 (ko)
KR (1) KR100359734B1 (ko)
CN (1) CN1250587A (ko)
AU (1) AU6244698A (ko)
TW (1) TW376532B (ko)
WO (1) WO1998035531A1 (ko)

Families Citing this family (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002515648A (ja) 1998-05-11 2002-05-28 セミトゥール・インコーポレイテッド 加熱反応炉の温度制御システム
JP2002523908A (ja) * 1998-08-26 2002-07-30 セミトゥール・インコーポレイテッド 半導体基板にエピタキシャル層を形成する低温プロセス
DE19855637A1 (de) * 1998-12-02 2000-06-15 Aixtron Ag Verfahren und System zur Halbleiterkristallherstellung mit Temperaturverwaltung
US6375749B1 (en) 1999-07-14 2002-04-23 Seh America, Inc. Susceptorless semiconductor wafer support and reactor system for epitaxial layer growth
US6454852B2 (en) 1999-07-14 2002-09-24 Seh America, Inc. High efficiency silicon wafer optimized for advanced semiconductor devices
US6632277B2 (en) 1999-07-14 2003-10-14 Seh America, Inc. Optimized silicon wafer gettering for advanced semiconductor devices
US6395085B2 (en) 1999-07-14 2002-05-28 Seh America, Inc. Purity silicon wafer for use in advanced semiconductor devices
US20020062792A1 (en) * 1999-07-14 2002-05-30 Seh America, Inc. Wafer support device and reactor system for epitaxial layer growth
US6461438B1 (en) * 1999-11-18 2002-10-08 Tokyo Electron Limited Heat treatment unit, cooling unit and cooling treatment method
DE10032465A1 (de) * 2000-07-04 2002-01-31 Steag Rtp Systems Gmbh Verfahren und Vorrichtung zum thermischen Behandeln von Objekten
JP4357715B2 (ja) * 2000-07-24 2009-11-04 東京エレクトロン株式会社 熱処理装置の温度校正方法
US7024253B2 (en) * 2000-08-21 2006-04-04 Honeywell International Inc. Auto-tuning controller using loop-shaping
JP4493192B2 (ja) * 2000-09-13 2010-06-30 東京エレクトロン株式会社 バッチ式熱処理装置及びその制御方法
JP3403160B2 (ja) * 2000-09-27 2003-05-06 東京エレクトロン株式会社 熱処理装置、熱処理装置用制御装置
US6492625B1 (en) * 2000-09-27 2002-12-10 Emcore Corporation Apparatus and method for controlling temperature uniformity of substrates
AU2002212963A1 (en) * 2000-10-25 2002-05-06 Tokyo Electron Limited Method of and structure for controlling electrode temperature
US6819963B2 (en) * 2000-12-06 2004-11-16 Advanced Micro Devices, Inc. Run-to-run control method for proportional-integral-derivative (PID) controller tuning for rapid thermal processing (RTP)
US6606537B1 (en) * 2001-04-19 2003-08-12 Kic Thermal Profiling Method for correcting process temperature profile in a multi-zone thermal processor
US7337019B2 (en) * 2001-07-16 2008-02-26 Applied Materials, Inc. Integration of fault detection with run-to-run control
JP2003074468A (ja) * 2001-08-31 2003-03-12 Toshiba Corp 真空排気システム及びその監視・制御方法
US6627860B1 (en) * 2001-11-08 2003-09-30 Nat Semiconductor Corp System and method of temperature management for semiconductor material processing
US6753512B1 (en) * 2002-01-02 2004-06-22 Simtek, Inc. Model-based control system for thermally treating webs
TW200416772A (en) * 2002-06-06 2004-09-01 Asml Us Inc System and method for hydrogen-rich selective oxidation
US7006900B2 (en) * 2002-11-14 2006-02-28 Asm International N.V. Hybrid cascade model-based predictive control system
US8017411B2 (en) * 2002-12-18 2011-09-13 GlobalFoundries, Inc. Dynamic adaptive sampling rate for model prediction
DE10329107B4 (de) * 2002-12-23 2015-05-28 Mattson Thermal Products Gmbh Verfahren zum Bestimmung wenigstens einer Zustandsvariablen aus einem Modell eines RTP-Systems
JP4461097B2 (ja) * 2002-12-23 2010-05-12 マットソン サーマル プロダクツ ゲゼルシャフト ミット ベシュレンクテル ハフツング 高速加熱装置における半導体ウェーハの温度を決定する方法
US7087443B2 (en) 2003-07-29 2006-08-08 Brooks Automation, Inc. Optimized temperature controller for cold mass introduction
DE10335460B4 (de) * 2003-08-02 2008-02-28 Infineon Technologies Ag Verfahren zum Betreiben einer CVD-Anlage
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US20070282480A1 (en) * 2003-11-10 2007-12-06 Pannese Patrick D Methods and systems for controlling a semiconductor fabrication process
TWI336823B (en) * 2004-07-10 2011-02-01 Onwafer Technologies Inc Methods of and apparatuses for maintenance, diagnosis, and optimization of processes
JP2006113724A (ja) * 2004-10-13 2006-04-27 Omron Corp 制御方法、温度制御方法、温度調節器、熱処理装置、プログラムおよび記録媒体
US7751908B2 (en) * 2004-12-02 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for thermal process control
US20060137377A1 (en) 2004-12-29 2006-06-29 Samson Eric C Method and apparatus for external processor thermal control
US7838072B2 (en) * 2005-01-26 2010-11-23 Tokyo Electron Limited Method and apparatus for monolayer deposition (MLD)
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
DE112007002116T5 (de) * 2006-09-11 2009-09-10 ULVAC, Inc., Chigasaki Unterdruck-Dampf-Bearbeitungs-Vorrichtung
JP2009224374A (ja) * 2008-03-13 2009-10-01 Oki Semiconductor Co Ltd Peb装置及びその制御方法
JP5734081B2 (ja) * 2010-10-18 2015-06-10 株式会社日立国際電気 基板処理装置、基板処理装置の温度制御方法、及び基板処理装置の加熱方法
US20120168143A1 (en) * 2010-12-30 2012-07-05 Poole Ventura, Inc. Thermal Diffusion Chamber With Heat Exchanger
JP5788355B2 (ja) * 2012-03-29 2015-09-30 東京エレクトロン株式会社 熱処理システム、熱処理方法、及び、プログラム
CN103034265B (zh) * 2012-12-11 2015-03-25 北京兴华机械厂 强制均温和半导体制冷片调温的惯性仪表测试用温控仪
SG11201606084RA (en) 2014-01-27 2016-08-30 Veeco Instr Inc Wafer carrier having retention pockets with compound radii for chemical vapor deposition systems
US9375884B2 (en) * 2014-04-15 2016-06-28 The Boeing Company Optical scanner and projection apparatus for thermal management of composite repairs
US10061331B2 (en) 2015-01-22 2018-08-28 Qualcomm Incorporated Systems and methods for detecting thermal runaway
JP6368686B2 (ja) * 2015-06-10 2018-08-01 東京エレクトロン株式会社 熱処理装置、熱処理装置の調整方法、及び、プログラム
JP6647931B2 (ja) * 2016-03-16 2020-02-14 株式会社Kelk 半導体ウェーハの温度制御装置、および半導体ウェーハの温度制御方法
JP6745673B2 (ja) 2016-08-05 2020-08-26 東京エレクトロン株式会社 半導体システム
JP6858077B2 (ja) * 2017-05-25 2021-04-14 アズビル株式会社 コントローラ調整システムおよび調整方法
CN207243986U (zh) * 2017-10-16 2018-04-17 君泰创新(北京)科技有限公司 真空镀膜设备
CN107885253B (zh) * 2017-11-21 2024-05-03 中国工程物理研究院电子工程研究所 一种用于半导体器件剂量率效应实验的精密控温装置
CN114879779B (zh) * 2022-05-31 2023-09-01 成都中远创视科技有限公司 一种基于滤波器的温度控制电路

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59108119A (ja) * 1982-12-13 1984-06-22 Hitachi Ltd 炉内温度オンライン計測・制御方式
JPH01108612A (ja) * 1987-10-21 1989-04-25 Tel Sagami Ltd 熱処理装置
JPH03145122A (ja) * 1989-10-31 1991-06-20 Toshiba Corp 半導体熱処理装置用温度制御装置
JPH05152311A (ja) * 1991-12-02 1993-06-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び半導体製造装置

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4276603A (en) * 1979-10-30 1981-06-30 Btu Engineering Corporation Diffusion furnace microcontroller
JPS61145606A (ja) * 1984-12-19 1986-07-03 Ohkura Electric Co Ltd 干渉対応形パタ−ン切換式温度制御装置
KR910002596B1 (ko) * 1985-11-21 1991-04-27 다이닛뽕 스크린 세이조오 가부시기가이샤 온도제어방법 및 그 장치
US4711989A (en) * 1986-05-19 1987-12-08 Thermco Systems, Inc. Diffusion furnace multizone temperature control
KR970008334B1 (en) * 1988-02-24 1997-05-23 Tokyo Electron Sagami Kk Method and apparatus for heat treatment method
US4886954A (en) * 1988-04-15 1989-12-12 Thermco Systems, Inc. Hot wall diffusion furnace and method for operating the furnace
JPH0786783B2 (ja) * 1989-11-04 1995-09-20 勝久 古田 調整入力による炉温制御装置
JPH0690126B2 (ja) * 1990-10-30 1994-11-14 株式会社島津製作所 キャピラリレオメータおよび温度検査棒
US5280422A (en) * 1990-11-05 1994-01-18 Watlow/Winona, Inc. Method and apparatus for calibrating and controlling multiple heaters
US5291514A (en) * 1991-07-15 1994-03-01 International Business Machines Corporation Heater autotone control apparatus and method
US5517594A (en) * 1994-10-17 1996-05-14 Relman, Inc. Thermal reactor optimization

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59108119A (ja) * 1982-12-13 1984-06-22 Hitachi Ltd 炉内温度オンライン計測・制御方式
JPH01108612A (ja) * 1987-10-21 1989-04-25 Tel Sagami Ltd 熱処理装置
JPH03145122A (ja) * 1989-10-31 1991-06-20 Toshiba Corp 半導体熱処理装置用温度制御装置
JPH05152311A (ja) * 1991-12-02 1993-06-18 Matsushita Electric Ind Co Ltd 半導体装置の製造方法及び半導体製造装置

Also Published As

Publication number Publication date
EP0954948A1 (en) 1999-11-10
US5895596A (en) 1999-04-20
JP3775753B2 (ja) 2006-05-17
EP0954948A4 (en) 2006-04-19
CN1250587A (zh) 2000-04-12
AU6244698A (en) 1998-08-26
JP2001505256A (ja) 2001-04-17
WO1998035531A1 (en) 1998-08-13
TW376532B (en) 1999-12-11
KR20000070532A (ko) 2000-11-25

Similar Documents

Publication Publication Date Title
KR100359734B1 (ko) 모델에 기초한 반도체 열 처리기용 온도제어기
KR100486158B1 (ko) 열처리의모델베이스예측제어
US7006900B2 (en) Hybrid cascade model-based predictive control system
US5517594A (en) Thermal reactor optimization
US6162488A (en) Method for closed loop control of chemical vapor deposition process
KR100914791B1 (ko) 열처리 시스템에서 워크피스의 이동을 제어하는 시스템 및 방법
WO1997028669A9 (en) Model-based predictive control of thermal processing
Cho et al. Control of rapid thermal processing: A system theoretic approach
Kailath et al. Control for advanced semiconductor device manufacturing: A case history
JP2002318602A (ja) むだ時間を有するプロセス系に対する離散時間スライディングモード制御装置及び方法
Cho et al. Model identification in rapid thermal processing systems
Tsakalis et al. Integrated identification and control for diffusion/CVD furnaces
Stuber et al. Model-based control of rapid thermal processes
Elia RTP multivariable temperature controller development
Rauf et al. Controller design issues in the feedback control of radio frequency plasma processing reactors
Ayu et al. Robust PID control design in CPS-based batch distillation column
Guibe et al. Adaptive control of a rapid thermal processor using two long-range predictive methods
Fasih et al. Kalman filter-based centralized controller design for non-square multi-input multi-output processes
Gorry et al. Adaptive Control for NOx Removal in Non‐Thermal Plasma Processing
Liu et al. Adaptive predictive control of combustor NOx emissions
CA2423281A1 (en) Iterative learning update for batch mode processing
Park et al. Control strategy for temperature tracking in rapid thermal processing of semiconductor wafers
KR19980083741A (ko) 모델기반 예측제어 시스템 및 방법
Ali On-line tuning strategy for PI control algorithms
Miller Advancements in Control and Data Management for Heat Treatment

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090930

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee