KR100359590B1 - 반도체장치및그제조방법 - Google Patents

반도체장치및그제조방법 Download PDF

Info

Publication number
KR100359590B1
KR100359590B1 KR10-1998-0058688A KR19980058688A KR100359590B1 KR 100359590 B1 KR100359590 B1 KR 100359590B1 KR 19980058688 A KR19980058688 A KR 19980058688A KR 100359590 B1 KR100359590 B1 KR 100359590B1
Authority
KR
South Korea
Prior art keywords
film
wiring
liner
conductive film
semiconductor substrate
Prior art date
Application number
KR10-1998-0058688A
Other languages
English (en)
Other versions
KR19990063479A (ko
Inventor
준이찌 와다
야스시 오이까와
도미오 가따따
Original Assignee
가부시끼가이샤 도시바
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 도시바 filed Critical 가부시끼가이샤 도시바
Publication of KR19990063479A publication Critical patent/KR19990063479A/ko
Application granted granted Critical
Publication of KR100359590B1 publication Critical patent/KR100359590B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53214Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
    • H01L23/53223Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체 장치는 반도체 기판의 한 주면 상의 층간 절연막에 형성된 제2 내부에 Al 배선층을 매립하고, 기판 상에 배설되어 있는 Al 배선과 접속하는 구조이고, 상기 제2 내부와 상기 Al 배선과의 사이에 적어도 Nb 라이너막 및 AlNb 합금막을 개재시킨다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME}
본 발명은 층간 절연막 내에 Al 배선층이 매립되어 이루어지는 배선구조를 갖는 반도체 장치 및 그 제조 방법에 관한 것이다.
종래부터, 반도체 장치에는 Al 배선이 많이 이용되어, 최근에는 Al을 주성분으로 한 Al합금(이하, Al 및 Al 합금을 총칭하여 Al이라 함)을 재료로 이용한 Al 배선이 주로 이용되고 있다.
특히, 하층 재료와의 반응을 억제하기 위한 TiN 막 등의 장벽 금속막 상에 Al을 적층하거나, 포토리소그래피 공정에서의 광의 난반사를 억제하기 위한 반사 방지막을 Al막 상에 적층하고, 이들의 적층막을 RIE에 의해 에칭하여 형성한 적층 구조의 Al 배선(Al-RIE 배선)이 많이 이용되고 있다.
그러나, 이러한 종류의 Al-RIE 배선은, 장벽 금속막 및 반사 방지막의 존재에 의해, 배선 단면적에 있어서의 실질적인 Al 단면적이 작아지고, 배선 저항이 증대한다고 하는 문제가 있다. 또한, Al막의 RIE 공정시에 배선 측벽에는 RIE 반응 생성물이 퇴적하기 때문에, Al 단면적이 작아진다고 하는 문제가 있다.
또한, LSI의 집적도의 증가와 더불어, Al 배선의 다층화가 필요해져, 상하의 Al 배선을 접속하는 플러그의 형성기술이 필수로 되어있다. 종래의 플러그 형성기술의 하나로서, 단차 피복성이 우수한 W(텅스텐)-CVD 기술이 있다.
도 1에, W-CVD 기술을 이용하여 형성한 종래의 다층 Al 배선의 단면도를 도시한다. 도 1에는, 제1 Al 배선(81)이 W 플러그(82)를 통해 제2 Al 배선(83)에 접속하고 있는 2층 Al 배선이 도시되고 있다. 제1, 제2 Al 배선(81, 83)은 각각 TiN/Ti 장벽 금속막(84) 상에 형성되고, 또한 제1, 제2 Al 배선(81, 83)의 상면은 각각 TiN 반사 방지막(85)으로 덮여지고 있다. 또, 도면 중, 참조부호 86, 87은 각각 제1 층간 절연막, 제2 층간 절연막을 나타내고 있다.
W-CVD 기술에는, 「전면퇴적」, 「선택퇴적」의 2종류가 있다. 「전면퇴적」은 접속홀을 포함하는 기판 전면에 W 막을 퇴적하는 방법이다. 한편, 「선택퇴적」은 접속홀의 저면 만큼 W 막을 선택적으로 퇴적하는 방법이다.
양자는 다른 열조건으로 실현할 수 있지만, 「선택퇴적」의 경우, 1공정에서 접속홀의 내부를 W 막에 의해 충전할 수 있는 것에 대해, 「전면퇴적」의 경우, 후공정으로서 접속홀의 외부의 W 막을 제거하는 에치백 공정이나 CMP 공정이 필요하게 된다.
그런데, 전술한 W-CVD 기술을 이용하여 형성한 W 플러그에는, 저항이 높다고 하는 문제와, EM(일렉트로마이그레이션) 내성이 부족하다고 하는 문제가 있다.
EM은 Al 배선에 전류가 흐른 경우, 전자의 충돌에 의해 Al 원자가 이동하는 현상이다. W는 Al에 비해 EM을 일으키기 어려운 재료이다. 때문에, 상하의 Al 배선을 W 플러그로 접속하면, W 플러그가 EM 확산장벽으로서 기능하고, Al 원자류(原子流)의 상류측에서는 Al 축적이 일어나고, 하류측에서는 Al 공핍이 발생한다. 이 종류의 Al 축적, Al 공핍은 각각 힐록(hill lock), 보이드 발생의 원인이 되고, 나아가서는 배선간 단락이나 배선 단선으로 이어진다.
이러한 EM 내성의 문제는, 전술한 Al-RlE 배선에도 존재한다. 즉, 이 종류의 Al 배선에서는 그 기초로서 Al이 배향하기 어려운 TiN 막 등의 장벽 금속막이 존재하기 때문에, Al<111> 배향성이 낮아 EM 내성을 확보할 수 없다고 하는 문제가 있다.
또한, 「전면퇴적」의 경우, 접속홀 외부의 W 막을 뒤에 제거해야 할 필요성부터, 상술한 저항이나 EM 내성의 문제 외에, 공정수가 증가한다고 하는 문제가 있다.
한편, 「선택퇴적」의 경우, 접속홀 외부의 W 막의 제거는 본래 불필요하지만, 실제로는, 선택성의 열화가 생기는 경우가 많고, 접속홀 외부에도 W 막이 형성되는 경우가 많다. 즉, 「선택퇴적」의 경우도, 접속홀 외부의 W 막을 에치백 등을 이용하여 뒤에 제거할 필요가 있고, 공정수가 증가한다고 하는 문제가 있다.
그런데, 다른 플러그 형성 기술로서, W보다 저항치가 낮은 Al을 이용하여 플러그를 형성하는 Al 리플로우 기술이 있다. 이것은, Al막의 표면 확산에 의한 유동 특성을 이용한 것으로, 기판을 가열한다고 하는 용이한 방법으로, 접속홀의 내부를 Al막으로 충전할 수 있음과 동시에, 이 Al막의 상부를 배선으로서 이용하는 것으로, 프로세스의 단축화도 도모할 수 있다고 하는 것이다. Al 리플로우 기술은 현재까지 여러가지 검토가 이루어져, Al 막과 습윤성(wetting)이 좋은 Ti (티탄)막 등의 기초막을 이용하는 경우가 많다.
또한, 유동 온도를 저온화할 수 있고, A.R. (애스펙트비 = 접속홀 깊이/접속홀 개구 지름)이 높은 접속홀의 충전도 기대할 수 있는 Al 리플로우 기술로서, 무가열로 Al막을 스퍼터형성한 후에, 가열하면서 Al막을 스퍼터형성한다고 하는 2스텝 Al 리플로우 기술이 알려져 있고, 주류를 이루고 있다.
또한, 저압-장거리 스퍼터법, 콜리메이션 스퍼터법, HDP(고밀도 플라즈마) 스퍼터법 등의 지향성이 높은 스퍼터 기술과 조합한 Al 리플로우 기술도 많이 제안되고 있다.
Al 리플로우 기술에서는, Al 막을 스퍼터법에 의해 형성하기 때문에, Al막의 단차 피복성은 원래 낮다. 이 때문에, 접속홀의 바닥부의 Al 막의 막 두께는 얇다. 그 결과, 유동화를 위한 가열시에 Al의 응집이 일어나거나, 접속홀의 내부에는 보이드가 발생한다. 따라서, Al 리플로우 기술로서는, 애스펙트비가 높은 접속홀을 충전할 수 없었다.
이러한 문제를 해결하기 위해서, 상술한 바와 같이, Ti막같은 Al 막과 습윤성이 좋은 기초막을 이용하여 Al의 응집을 억제하고 있다. 그러나, Ti막을 스퍼터 형성한 경우, 접속홀의 개구부에 Ti막의 오버행이 발생함과 동시에, Ti막의 표면에 요철이 생긴다. 이 요철은 Ti의 결정 성장의 결정면 의존성에 기인한다.
이러한 오버행이나, 표면의 요철은 Al의 부착을 방해하여, 리플로우특성을 열화시킨다. 더구나, Ti막의 성막방법으로서 지향성 스퍼터법을 이용하더라도, 접속홀의 측면에는 충분한 막 두께를 갖는 Ti 막을 형성할 수 없는 것이 현재의 실정이다.
또한, Ti는 Al과 반응하기 때문에, 접속홀의 저면에는, 저항이 높은 Al3Ti 막이 형성된다. 이 Al3Ti 막은, W 플러그와 같이, EM 확산장벽으로서 동작하기 때문에, EM 내성이 열화한다고 하는 문제가 생긴다.
또한, 최근에는, 다마신(damascene) 구조나 듀얼 다마신 구조의 배선에의 Al 리플로우 기술의 적용이 검토되고 있다. 도 2에, Al 리플로우 기술을 이용하여 형성한 종래의 듀얼 다마신 구조의 배선(DD 배선)의 단면도를 도시한다.
도면 중, 제1 층간 절연막(86)의 표면에 형성된 배선 트렌치(92) 내에는 제1 Al 배선(81)이 매립되고, 이 제1 Al 배선(81)은 제2 층간 절연막(87)에 형성된 접속홀(88)및 배선 트렌치(89) 내에 매립된 제2 Al 배선(이하 DD 배선이라 함; 83)에 접속하고 있다. 도면 중, 참조부호 90은 Al3Ti 합금막을 나타내고, 참조부호 91은 제3 층간 절연막을 나타낸다.
DD 배선(83)은 제2 층간 절연막(87)에 접속홀(88) 및 배선 트렌치(89)를 미리 형성해 놓고, 그 접속홀(88) 및 배선 트렌치(89)의 내부를 1회의 공정으로 동시에 Al 플러그 및 Al 배선이 되는 Al막으로 충전하여, 외부의 잉여인 Al막을 CMP로 제거하고, Al 플러그 및 Al 배선을 동시에 형성함으로써 프로세스의 단축화나 비용의 삭감화를 도모할 수 있다.
그러나, Al막의 기초막에 Ti 라이너막을 이용하여, Al 리플로우 기술에 의해 DD 배선(88)을 형성하는 경우에는 다음과 같은 문제가 있다.
이 방법에서는 접속홀 및 배선 트렌치를 형성한 후에 전면에 Ti 라이너막(도시생략)을 형성하여, 배선 트렌치의 내면(측면 및 저면) 전면을 Ti 라이너막으로 덮는다.
이 때문에, 그후의 Al 리플로우 공정으로, 배선 트렌치의 내면에 Al3Ti 막(90)이 형성되어, DD 배선(83)의 실효적인 Al의 체적이 감소한다. Al3Ti 막(90)은 저항이 높기 때문에, DD 배선(83)의 저항은 증가하는 것이 된다. 이러한 배선 저항의 증대는, 배선폭이 미세화될수록 심각한 문제가 된다.
또한, Al3Ti막(90)은 접속홀(88)의 저면에도 형성되고, 이 저면에 형성된 Al3Ti 막(90)은 EM 확산장벽으로서 기능한다. 따라서, W-CVD 기술의 경우와 같이, EM 내성이 열화한다고 하는 문제도 있다.
전술한 바와 같이, 종래부터 여러가지의 플러그 형성 기술이 제안되어, 그 중에서도 Al 리플로우 기술은, DD 배선의 형성에 검토되고 있는 것이다. 그러나, 접속홀의 바닥부에서 Al막의 응집이 일어나거나, 이에 따라 고애스펙트비의 접속홀을 충전할 수 없다고 하는 문제가 있다.
이러한 문제를 해결하기 위해서, Al막과 습윤성이 좋은 Ti 라이너막을 기초막으로 이용하는 것이 제안되었다. 그러나, 이번에는 배선 트렌치의 내면에 Al3Ti막이 형성되어, 이에 따라 배선 저항이 증가하거나, EM 내성이 저하한다고 하는 문제가 있었다.
다른 종래 기술로서 부분적인 구성만 주목하면 바이어스용 또는 배선 패턴과 같이 패터닝된 절연체층에 니오브 라이너를 피복하고, 니오브층으로하여, 이 니오브층 상에 알루미늄 또는 알루미늄 합금을 부착하는 구성으로부터 CMP(Chemical Mechanical Polishing) 플래너리제션·프로세스에 의해 패터닝된 절연체층 내에 금속 라인을 형성하는 방법이 알려져 있다(특개평 10-74764). 이 문헌에는 적합한 실시예로서 니오브층 상에 형성된 알루미늄 또는, 알루미늄 합금을 산화 산성 콜로이드상(狀) 알루미나·슬러리를 이용하여 CMP 연마로 니오브·라이너를 노출하고, 산화시켜 Nb2O5를 형성한다. 이 결과, 니오브 라이너는 연마정지층으로서 동작한다. 따라서, 이 문헌에는 니오브 라이너와 알루미늄 또는 알루미늄 합금과의 사이에 적극적으로 AlNb 합금을 형성하고자하는 의도는 아니다. 또한, Al과 Nb의 계면에 Nb의 산화막(Nb2O5)이 있는 경우 AlNb 합금을 생성하기 어렵게 하고 있다.
본 발명은, 상기 사정을 고려하여 이루어진 것으로, 반도체 기판의 한 주면 상의 층간 절연막에 형성된 접속홀 내에 Al 기록층을 매립하는 배선 구조로서, 상기 접속홀 내측과 상기 Al 배선층과의 사이에 적어도 Nb 라이너막 및 AlNb 합금막을 개재시킴으로써 상기 접속홀 내의 배선 저항의 증가, EM 내성의 저하를 방지할 수 있음과 동시에, Al의 단절, 응집을 방지하여 Al 배선의 상기 접속홀과의 밀착성을 높일 수 있는 반도체 장치 및 그 제조 방법을 제공하는 것을 목적으로 한다.
상기 목적을 달성하기 위해, 본 발명의 반도체 장치는, 반도체 기판의 한 주면 상에 형성되어, 오목부(凹部)를 갖는 층간 절연막과;
상기 오목부의 내부에 형성된 Nb와 NbN 중 어느 한쪽으로 이루어지는 라이너막과;
상기 라이너막을 갖는 상기 오목부 내에 형성되는 Al을 주성분으로 하는 Al 배선층과;
상기 라이너막과 상기 Al 배선층과의 계면과, 층간 절연막과 상기 Al 배선층의 어느 하나가 한쪽에 형성된 AlNb 합금막을 포함하고 있다.
또한, 본 발명의 반도체 장치의 제조 방법은 반도체 기판 상에 오목부를 갖는 층간 절연막을 형성하는 제1 공정과;
상기 오목부 내부에 Nb와 Nb중 어느 한쪽으로 이루어지는 라이너막을 형성하는 제2 공정과;
상기 반도체 기판을 가열하면서 상기 오목부 내부를 포함하는 영역상에 도전막 - 상기 도전막은, Al을 주성분으로 하는 Al 도전막임 -을 형성함과 동시에, 상기 Al 도전막을 리플로우시켜 상기 오목부 내부를 상기 Al 도전막으로 충전하는 제3 공정 - 상기 제2 공정과 제3 공정은 진공 중에서 연속적으로 행함으로써 상기 제3 공정으로 상기 라이너막과 상기 도전막과의 계면에 AlNb 합금을 형성함 -과;
상기 오목부의 외부의 상기 도전막을 제거하고, 상기 도전막으로 이루어지는 배선층을 형성하는 제4 공정을 포함한다.
또한, 본 발명의 반도체 장치의 제조 방법은 반도체 기판 상에 제1 도전막을 형성하는 공정 - 상기 공정은 상기 제1 도전막을 형성하는 경우, 상기 제1 도전막으로 이루어지는 스퍼터 입자의 운동 에너지를 증가시킴으로써, 상기 반도체 기판상에서의 상기 스퍼터 입자의 마이그레이션을 활성화시키는 공정을 포함함 -과;
상기 제1 도전막 상에 제2 도전막을 형성하는 공정을 포함한다.
또한, 본 발명의 반도체 장치는 반도체 기판의 한 주면 상에 형성되어, 배선 트렌치를 갖는 층간 절연막과;
상기 배선 트렌치의 내부에 형성된 Nb와 NbN중 어느 한쪽으로 이루어지는 라이너막과; -상기 라이너막을 갖는 상기 배선 트렌치 내벽에 형성된 Al을 주성분으로 하는 Al 배선층이 매립되어 이루어지는 다마신 배선, 상기 다마신 배선은 X선 회절법에 의해 상기 다마신 배선의 길이 방향과 수직 방향으로 X선을 입사한 경우에 얻어지는 상기 다마신 배선의 Al<111> 피크의 록킹 커브의 반값폭을 A로 하고, X선 회절법에 의해 상기 다마신 배선의 길이 방향과 평행 방향으로 X선을 입사한 경우에 얻어지는 상기 다마신 배선의 Al<111> 피크의 록킹 커브의 반값폭을 B로 했을 때 A > B가 되는 관계를 만족함 -;
상기 라이너막과 상기 Al 배선층의 계면과, 층간 절연막과 상기 Al 배선층의 계면 중 어느 하나가 한쪽에 형성된 AlNb 합금을 포함한다.
상기 구성으로 함으로써 본 발명의 반도체 장치 및 그 제조 방법은 반도체 기판의 한 주면 상의 층간 절연막에 형성된 접속홀 내에 Al 배선층을 매립하는 배선 구조로서, 상기 배선 구멍 내측과 상기 Al 배선층과의 사이에 적어도 Nb 라이너막 및 AlNb 합금막을 이용함으로써 종래의 배선 구조에 비해 상기 배선 구멍 내의 배선 저항의 증가, EM 내성의 저하를 방지할 수 있음과 동시에 Al의 단절, 응집을 방지하고 Al 배선층의 상기 배선 구멍과의 밀착성을 높일 수 있다.
또한, 본 발명에 의하면, Nb 라이너막 또는 NbN 라이너막을 이용함으로써 애스펙트비를 높여도 관통 구멍의 내부를 배선 저항의 증가 및 EM 내성의 저하를 방지할 수 있는 Al 배선층으로 충전할 수 있는 반도체 장치의 제조 방법을 실현할 수 있게 된다.
또한, 본 발명에 의하면 다마신 배선의 소정의 면에서의 Al<111> 배향성을 낮춤으로써 힐록의 발생 및 EM 내성의 열화를 방지할 수 있고, 아울러 신뢰성이 높은 다마신 배선을 갖는 반도체 장치를 실현할 수 있게 된다.
또한, 본 발명에 의하면 제1 도전막이 되는 스퍼터 입자의 운동 에너지를 증가시킴으로써 배향성이 높은 제1 도전막을 형성하고, 이 배향성이 높은 제1 도전막을 기초층으로 이용함으로써 배향성이 높은 제2 도전막을 형성할 수 있고, 이 배향성의 제2 도전막을 배선층으로 이용함으로써, EM 내성이 높은 배선층을 갖는 반도체 장치를 실현할 수 있게 된다.
도 1은 종래의 W-CVD 기술을 이용하여 형성한 다층 Al 배선의 부분 단면도.
도 2는 종래의 Al 리플로우 기술을 이용하여 형성한 다층 Al 배선의 부분 단면도.
도 3a 내지 도 3e는 본 발명의 제1 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 각 공정 단면도.
도 4는 리플로우시의 충전 특성의 열화를 설명하기 위한 도면.
도 5a 내지 도 5c는 라이너막의 응집 억제 능력이 라이너막과 Al 막의 반응에 관계하고 있음을 설명하기 위한 도면.
도 6은 Ta막 및 Nb막의 각 막 상에 각각 Al-Cu 0.5wt%막을 진공에서 연속으로 형성한 시료를 1시간 열처리한 경우의 열처리 온도와 시트 저항 상승률과의 관계를 나타내는 도면.
도 7a 내지 도 7c는 본 발명의 제2 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도.
도 8은 RIE에 의해 형성한 종래의 배선, Ti라이너막을 이용한 리플로우에 의해 형성한 배선, 및 Nb 라이너막을 이용한 리플로우에 의해 형성한 배선의 비저항과 배선폭의 관계를 나타내는 도면.
도 9a 내지 도 9e는 본 발명의 제3 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도.
도 10a 내지 도 10c는 본 발명의 제4 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도.
도 11a 내지 도 11f는 본 발명의 제5 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도.
도 12는 X선 회절법으로 측정한 Nb<110> 피크 및 Al<111> 피크의 록킹 커브의 반값폭의 스퍼터 전력 의존성을 나타내는 도면.
도 13은 Al<111> 배향도와 EM 신뢰성과의 관계를 나타내는 도면.
도 14는 스퍼터 전력과 스퍼터 전압과의 관계를 나타내는 도면.
도 15는 Nb막을 형성할 때의 스퍼터 전력과 스퍼터 속도(성막 속도)와의 관계를 나타내는 도면.
도 16은 Nb 스퍼터 전력과 Al 충전 한계 애스펙트비와의 관계를 나타내는 도면.
도 17a 내지 도 17d는 본 발명의 제6 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도.
도 18은 배선 트렌치(配線溝)가 다수 형성된 시료 상에서의 Al<111> 배향과 스퍼터 전력과의 관계를 나타내는 도면.
도 19는 X선 회절법에 의해 배선 길이 방향과 평행한 방향 및 수직인 방향으로 X선을 입사시켜 얻은 다마신 배선의 록킹 커브를 나타내는 도면.
도 20a 내지 도 20b는 본 발명의 변형예를 설명하기 위한 단면도.
도 21은 Nb막을 -380V 이하의 타겟 전압으로 형성하고, 이어서 Nb 막상에 Al막을 무가열로 형성하고, 다음에 450℃의 열처리를 행한 경우의 Al<111> 배향성의 시간 변화를 나타내는 도면.
<도면의 주요 부분에 대한 부호의 설명>
1 : Al 배선
2 : 층간 절연막
3 : 접속홀
4 : Nb 라이너막
5 : 제1 Al막
6 : 제2 Al막
7 : AlNb막
81 : 제1 Al 배선
83 : 제2 Al 배선
82 : W 플러그
84 : 장벽 금속막
85 : 반사 방지막
86 : 제1 층간 절연막
87 : 제2 층간 절연막
88 : 접속홀
91 : 제3 층간 절연막
이하, 도면을 참조하면서 본 발명의 실시의 형태(이하, 실시 형태라는)을 설명한다.
(제1 실시 형태)
도 3a 내지 도 3e는 본 발명의 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도이다.
또한, 도 3a에 나타낸 바와 같이, 소자가 형성된 Si 기판(도시생략)상에 Al 배선(1)을 형성한다. 이때, Al 배선(1)의 재료는 순수한 Al일 필요는 없고, 예를들면 0.5 wt%의 Cu나 1 wt%의 Si 등이 첨가된 Al을 이용해도 좋다.
다음에, Al 배선(1)을 덮도록 전면에 층간 절연막(2)을 형성한 후, Al 배선(1) 상에 개구 지름 0.3μm 이하, 애스펙트비 3 이상의 접속홀(3)을 포함하는 복수의 접속홀(이하, 통합하여 접속홀3이라 함)을 포토리소그래피와 RIE를 이용하여 형성한다.
다음에 도 3b에 도시한 바와 같이, 접속홀(3)의 내면을 덮도록, 접속홀(3)을 포함하는 영역 상에 Nb 라이너막(4)을 지향성 스퍼터법을 이용하여 형성한다. Nb 라이너막(4)의 막 두께는, 층간 절연막(2)의 표면에서 7.5nm 이다.
또한, Nb 라이너막(4)에 의한 Al 충전 특성을 평가하기 위해서, 발명자들은 비교예로서 Ti 라이너막 및 Ta 라이너막(도시생략)도 동일 막 두께로 형성하였다.
다음에 도 3c에 도시한 바와 같이, 두께가 예를 들면 400nm인 제1 Al막(5)을 Si 기판을 대기에 노출하는 일 없이 지향성 스퍼터법에 의해 무가열로 형성한다.
다음에 도 3d에 도시한 바와 같이, Si 기판을 대기에 노출하는 일 없이, Si 기판을 예를 들면 450℃로 가열하면서, 예를 들면 두께 400nm의 제2 Al 막을 180∼300초의 시간으로 스퍼터 법에 의해 형성하고, 접속홀(3)의 내부를 제1 및 제2 Al막(6)으로 충전한다. 이때, 접속홀(3)과 제1 및 제2 Al막(6)과의 계면에는, Nb 라이너막(4)과 제1 Al막(5)과의 반응 생성물인 AlNb 합금막(7)이 형성된다.
마지막으로, 도 3e에 도시한 바와 같이, 접속홀(3)의 외부의 제1 및 제2 Al 막(6), AlNb 합금막(7), Nb 라이너막(4)을 RIE 법을 이용하여 선택적으로 제거함으로써 Al 배선층(12)과 Al 콘택트층(6)이 완성된다.
상기 공정에서, Nb 라이너막(4), 제1 Al막(5), 및 제1 Al 막과 제2 Al막을 형성하기 위한 지향성 스퍼터법에는, 예를 들면 저압-롱 쓰로우 스퍼터법(low-pressure long-throw sputtering method), 콜리메이션 스퍼터법, 바이어스 스퍼터법, 고밀도 플라즈마 스퍼터법 등을 이용하면 좋다.
또한, Nb 라이너막(4)은 접속홀(3) 내면에 치우침 없이 형성되는 것이 바람직하다. 또한, 제1 Al 막(5)은 지향성 좋게 형성되는 것이 바람직하고, 이를 위해서는 예를 들면 저압-롱 쓰로우 스퍼터법을 이용한 경우에는, 타겟지름 300mm, 타겟-기판 거리 300mm, Ar 개스 0.03 Pa 이하로 하면 좋다.
본 발명자 등의 연구에 따르면, 접속홀과 Al 배선층과의 계면에 형성된 Nb 라이너막(4), NbA1 합금막(7)은, 접속홀과 Al 배선층과의 계면에 형성된 Ti막, TiA1 합금막과는 달리, 배선 저항의 증가를 충분히 억제할 수 있음을 알 수 있었다.
따라서, 본 실시 형태에 따르면, 접속홀(3)과 Al 콘택트층(6)과의 계면에 배선 저항의 증가의 원인이 되는 막이 존재하지 않기 때문에, 배선 저항의 증가를 방지할 수 있다.
또한, 접속홀(3)과 Al 콘택트층(6)과의 계면에 형성된 막(4,7)중 어느 하나가 보상 도선(補償導線)이 되기 때문에, EM 내성을 향상시킬 수 있다. 또한, 접속홀(3)과 Al 콘택트층(6)과의 계면에 형성된 Nb 라이너막(4), AlNb 합금막(7) 중 어느 하나가 Al 콘택트층(6)과의 밀착층이 되기 때문에 SM 내성을 향상시키는 것도 가능해진다.
그런데, 일반적으로, 리플로우에 있어서의 충전 특성의 열화는, 도 4에 도시한 바와 같이, 전면에 형성한 Al 막(5a) 중, 접속홀(3) 내의 것이 리플로우의 초기에 응집을 일으키고, 이에 따라 입화(粒化)한 Al막(5b)이 형성되어 Al 확산의 경로가 끊어져 버림으로써 일어난다. Nb 라이너막(4a)은 이 Al 막의 응집을 억제하는 효과가 있어, 충전 특성을 향상시킨다.
본 발명자 등의 검토에 의해, 라이너막의 응집 억제 능력은, 라이너막(4a)과 Al 막(5a)의 반응에 크게 관계하는 것이 분명해졌다. 즉, 도 5a, 도 5b에 도시한 바와 같이, 라이너막(4a)과 Al막(5a)의 반응이 진행하고 있는 동안은, 라이너막(4a) 상의 Al막(5a)의 응집은 억제된다. 그러나, 도 5c에 도시한 바와 같이, 라이너막(4a)이 전부 Al 막(5a)과의 반응 생성물(8)로 변화하면, 응집 억제능력은 저하하여 입화 Al 막(5b)이 형성되어 버린다.
도 6에, Ti막, Ta막 및 Nb막(막 두께: 100nm)의 각 막 위에 각각 Al-Cu 0.5wt% 막(막 두께: 400 nm)를 진공에서 연속으로 형성한 시료(적층막)를 1시간 열처리한 경우의 가열 온도와 시트 저항 상승율과의 관계를 도시한다.
각 라이너막과 Al막은 열처리에 의해서 반응 생성물을 형성하고, 실효적 Al막 두께가 감소한다. 때문에 시트 저항 상승율이 높을수록 라이너막과 Al막의 반응이 진행하고 있는 것이 된다. 따라서, 도 6으로부터 Ti막, Nb막, Ta막의 순으로 Al 막과의 반응성이 높은 것을 알 수 있다.
표 1에, Al막의 충전 특성이 애스펙트비의 크기와 라이너막의 종류(Ti막, Ta막, Nb 막)에 의해 어떻게 변화하는가를 나타낸다.
라이너재료 Ti Nb Ta
애스펙트비
1.0
2.0
2.5 ×
3.0 ×
3.2 ×
3.4 × ×
3.6 × ×
3.8 × ×
표 1로부터, 라이너막으로서 Al막과의 반응성이 높은 Ti막과, Al막과의 반응성이 낮은 Ta막은, 고애스펙트비의 접속홀에서의 충전 특성이 열화함을 알 수 있다. 이 결과는 다음과 같이 해석할 수 있다.
우선, Ti 라이너막은 Al 막과의 반응성이 높기 때문에 단시간에 전부 반응 생성물로 변화한다. 때문에, 응집 억제 능력이 계속되는 시간이 짧고, Al막을 접속홀 내에 유동시키는 시간을 확보할 수 없게 된다. 그 결과, Ti 라이너막은, 고애스펙트비의 접속홀의 충전 특성이 Nb 라이너막, Ta 라이너막에 비해 저하한다.
한편, Ta 라이너막은 Al막과의 반응성이 낮으므로, 반응에 의해 Al 막의 응집을 억제하는 능력이 원래 낮아 충전 특성이 열화한다.
그리고, Nb 라이너막은 Al 막과의 반응 시간이 길고, 또한 반응에 의해 Al 막의 응집을 억제하는 능력이 있기 때문에, Al막을 접속홀 내에 유동시키는 시간을 충분히 확보할 수 있다. 그 결과, 고애스펙트비의 접속홀을 충전할 수 있게 된다.
이와 같이 Al 리플로우에 이용하는 라이너막은, Al 막과의 반응성을 고려하여 선택하는 것이 중요하다.
또한, 리플로우에 있어서 Al막의 응집이 개시되는 것은, 라이너막과 Al막의최저막 두께부이다. 스퍼터법을 이용하여 라이너막과 Al막을 형성한 경우, 접속홀의 측벽 근방의 바닥부(이하, 접속홀 측벽 바닥부라 함)가 최저 막 두께부가 된다.
스퍼터법에서는, 접속홀 바닥부에서의 스퍼터 입자가 입사할 수 있는 예상각은 감소하고, 스퍼터 입자의 부착율이 저하한다. 이 예상각은 접속홀의 애스펙트비로 결정되고, 애스펙트비가 높은 접속홀만큼 예상각은 감소하여, 접속홀 측벽 바닥부에서의 라이너막 두께 및 Al막 두께는 박막화한다.
따라서, 원하는 접속홀을 Nb 라이너막을 이용한 Al 리플로우로 확실하게 충전하기 위해서는, 리플로우의 온도나 시간, 즉 서멀 버짓(thermal budget)(기판 온도와 가열 시간의 곱)과, Si 기판 상에 있는 최대 애스펙트비의 접속홀 바닥부의 Nb 라이너막 두께 및 Al 막 두께와, 또한 접속홀내 용적을 이하와 같이 조정하는 것이 필요하게 된다.
즉, 본 실시 형태와 같이, 서멀 버짓(기판온도와 가열 시간의 곱)에 의해 결정되는 Al 유동량이, 가열 이전에 형성된 Nb 라이너막과 Al막이 차지하는 영역을 제외하는 접속홀내 용적 이상인 경우에 있어서, 최저 막 두께부의 Nb 라이너막과 Al막이 반응에 의해서 그 어느 하나가 소멸하지 않도록, Nb 라이너막 및 Al막의 막 두께를 조정한다(제1 조정).
혹은, Al 유동량이 가열 이전에 형성된 Nb 라이너막과 Al 막이 차지하는 영역을 제외하는 접속홀내 용적 이상이 되고, 또한 최저막 두께부의 Nb 라이너막과 Al막이 반응에 의해서 그 어느 하나가 소멸하지 않은 서멀 버짓이 되도록 기판 온도와 가열 시간을 조정한다(제2 조정).
혹은, 최저막 두께부의 Nb 라이너막과 Al 막이 반응에 의해서 그 어느 하나가 소멸하지 않은 서멀 버짓을 선택한 경우에 있어서, Al 유동량이 가열 이전에 형성된 Nb 라이너막과 Al막이 차지하는 영역을 제외하는 접속 구멍내 용적 이상이 되도록, 접속홀내 용적을 조정한다(제3 조정).
본 실시 형태의 방법은 제1 조정을 선택한 방법이고, 이 경우, 개구지름 0.3μm 이하, 애스펙트비 3 이상의 접속홀(3)을 제1 및 제2 Al막(6)으로 충전할 수 있음을 확인하였다. 또한, 상기 제1 내지 제3 조정은 다른 막종의 경우에도 유효하고, 그 조정 조건을 만족하도록 하면, 라이너막의 종류에 관계없이 고애스펙트비의 접속홀의 내부를 도전막으로 충전하는 것이 가능해진다.
본 실시예에서 설명한 바와 같이 Al을 접속홀에 리플로우에 의해 충전하기 위해서는 Nb 라이너막과 Al을 리플로우 과정에서 반응시켜, 제1 Al막의 응집을 억제하는 것이 중요하다. 따라서, 본 발명의 리플로우 이후에는 반드시 Nb와 Al과의 합금인 Al3Nb가 형성된다. 특히, Nb를 지향성 스퍼터로 형성한 경우, 배선용 트렌치나 접속홀의 측면에는 Nb가 얇게 형성된다. 따라서, 측면의 Nb는 모두 Al과 반응하여 Al3Nb층이 형성되고, 리플로우 이후에는 Nb층이 남지 않는 경우도 있다.
따라서, 동일한 리플로우를 이용해도 Al3Nb를 형성시키지 않는 것도 가능하다. 예를 들면 Nb를 스퍼터한 후, 기판을 일단 대기 개방하고, 이어서 제1 Al을 저온에서 형성하고, 제2 Al막을 고온에서 형성하면서, 리플로우시키는 경우이다. 이 경우, Nb 표면에는 자연 산화막이 형성되고, Nb와 Al의 계면에 약간의 Nb 산화층이 개재한다. 이 Nb 산화층은 Nb와 Al의 반응을 억제하고, Al3Nb는 거의 형성되지 않는다. 이 경우, 배선용 트렌치의 내면에 AlNb의 합금층이 형성되지 않기 때문에, 실질적으로 배선 트렌치 내의 Al 양이 증가하고, 배선 저항을 저하시킬 수 있다.
그러나, 본 실시예에서 설명한 바와 같이, 접속홀을 Al 리플로우에 의해 충전하기 위해서는 Nb와 Al을 반응시키는 것이 필요하고, Nb와 Al의 반응을 억제한 경우, 접속홀으로의 충전을 할 수 없는 문제가 있다. 또한, Nb와 Al의 계면에 Nb 산화층이 개재하면 Al과 Nb의 혼합 영역인 Al3Nb영역이 없어져, Nb와 Al의 밀착성이 저하한다. 이 밀착성의 저하는 스트레스 마이그레이션 내성, 일렉트로 마이그레이션 내성의 열화로 이어져, 신뢰성을 저하시키는 문제가 있다.
또한, 클러스터 스루 등의 장치를 사용하여 Nb, 제1 Al막, 제2 Al막을 진공 연속적으로 형성해도, Nb 표면은 산화된다. Nb 표면의 산화의 정도는 Nb를 스퍼터 후, 제1 Al막을 형성할 때까지의 웨이퍼의 반송에 관련된 시간이나 반송시의 분위기 진공도에 의해 결정된다. 본 발명자 등의 연구에 의하면, 반송(Nb 스퍼터 종료시부터 제1 Al의 형성 개시까지의 분위기) 진공도가 5×10-5pa 이하이고, 또한 Nb스퍼터 종료시부터 제1 Al의 형성 개시까지의 시간이 5분 이내의 조건이면, 제1 Al막의 조건을 억제하기에 충분한 Nb와 Al의 반응이 발생하여 Al3Nb는 형성된다. 상술한 이외의 조건인 경우에는 Nb의 표면 산화층은 Al과 Nb와의 반응을 억제하고, 실질적으로 Al3Nb를 형성하지 않는다.
따라서, 본 발명은 진공에서 연속적으로 Nb막, 제1 Al막, 제2 Al막을 형성하는 것은 물론, 상술한 반송 분위기 진공도와 반송 시간의 조건 내에서 행해지는 것이므로, 접속홀의 충전 능력을 높이고, 또한 제조에 관련된 신뢰성을 향상시키기에 충분한 양의 Al3Nb 층을 형성할 수 있다.
또한, Nb를 스퍼터후, 대기 개방하는 경우나, Nb 막 표면의 산화층이 형성되는 반송 조건에서 리플로우를 행하는 경우 이외에도, Nb막을 스퍼터하는 스퍼터 타겟의 순도에 의해서도, Al과 Nb의 반응이 억제되는 경우가 있다. 본 발명의 발명자 등에 의하면, 99.9% 이상 순도의 Nb 타겟을 이용하면, 접속홀의 충전 능력을 높이면서, 제조상 신뢰성을 향상시키기에 충분한 량의 Al3Nb 층을 형성할 수 있다.
99.9% 미만의 순도의 Nb 타겟을 이용한 경우, 타겟에 포함되는 불순물 원자가 Al과 반응하고, 그 반응층이 Nb와 Al의 반응을 억제하는 것이다. 이 경우도 Nb 표면에 산화층이 생긴 경우와 마찬가지로 접속홀으로의 충전이 불가능하고, 또한 Al3Nb량이 충분하지 않기 때문에 제조상 신뢰성을 향상시킬 수 없다.
(제2 실시 형태)
도 7a 내지 도 7c는 본 발명의 제2 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도이다. 본 실시 형태에서는 제1 실시 형태에서 설명한 Nb라이너막을 이용한 Al리플로우법을 DD배선의 형성 방법에 적용한 경우에 대해 설명한다. 또한, 본 실시 형태에서는 제2 조정을 선택하고 있다. 또, 도 3a 내지도 3e와 대응하는 부분에는 동일 부호를 붙이고 상세한 설명은 생략한다.
먼저 도 7a에 나타낸 바와 같이 소자가 형성된 Si 기판(도시생략) 상에 Al 배선(1)을 형성한다.
다음에 도 7a에 도시한 바와 같이 Al 배선(1)을 덮도록 전면에 층간 절연막(2)을 형성한 후, 이 층간 절연막(2)에 접속홀(3) 및 배선 트렌치(9)를 형성한다.
다음에 도 7b에 도시한 바와 같이 제1 실시 형태와 동일한 조건으로, 막두께 7.5nm의 Nb 라이너막(4), 제1 Al막을 형성한 후, 제2 Al막을 형성하면서 Si 기판의 가열 온도 420℃, 가열 시간 300초의 조건으로, 접속홀(3) 및 배선 트렌치(9)의 내부를 Al 리플로우에 의해 제1 및 제2 Al막(6)으로 충전한다. 또, 가열 시간은 300초 이상도 가능하다.
마지막으로, 도 7c에 도시한 바와 같이, 접속홀 및 배선 트렌치(9) 외부의 나머지의 제1 및 제2 Al막(6) 등을 CMP 법을 이용하여 연마제거함으로써 DD 배선(6)(제2 Al 배선(6a)과 Al 플러그(6b))가 완성된다.
일반적으로, 접속홀(3) 및 배선 트렌치(9)의 내부를 리플로우에 의해 Al 막으로 충전하는 DD 프로세스에는 배선 트렌치 내에 형성된 라이너막과, 라이너막과 Al 막과의 반응물에 의한 배선 저항의 증가의 문제가 있다.
배선 저항의 증가는 RC 지연의 원인이 되기 때문에 0.18μm의 배선폭에서는 배선 저항을 비저항 환산하여 4.6μΩ·cm 미만으로 억제하는 것이 바람직하다.
도 8에 RIE에 의해 형성한 종래의 RIE 배선, Ti 라이너막(비교예)을 이용한Al 리플로우에 의해 형성한 DD 배선, 및 본 실시 형태의 Nb 라이너막을 이용한 Al리플로우에 의해 형성한 DD 배선의 비저항과 배선폭의 관계를 나타낸다. 여기서, 배선 트렌치의 깊이는 400nm로 하였다.
또한, Ti 라이너막(비교예)의 막두께는 Nb 라이너막의 그것과 동일한 15nm
로 했지만, 이 막두께의 경우, Ti 라이너막에서는, 개구 지름 0.3μm 이하, 애스펙트비 3 이상의 접속홀을 Al막으로 충전할 수 없다.
도 8로부터 종래의 RIE 배선이나 Ti 라이너막에 의해 형성한 DD 배선에 비해, 본 실시 형태의 Nb 라이너막을 이용한 Al리플로우에 의해 형성한 DD 배선은, 비저항의 상승이 억제되고 있음을 알 수 있다.
또한, Nb 라이너막을 이용한 Al리플로우에 의해 형성된 DD 배선(6)은, EM, SM 내성이 우수하다고 하는 이점이 있다. 이것은 Nb 라이너막(4) 또는 제1 Al막과 Nb 라이너막(4)의 반응막인 AlNb 합금막(7)(예를 들면 Al3Nb막)은 EM 내성이 우수하기 때문에 DD 배선(6)이 EM에 의해 단선을 일으켜도 전류는 Nb 라이너막(4) 또는 AlNb 합금막(7)을 통해 흐르고, DD 배선(6)의 단선을 억제할 수 있기 때문이다.
또한, DD 배선(6)의 경우, Al막은 층간 절연막의 접속홀 및 배선 트렌치의 내부에 매립되므로 RIE 배선의 경우에 비해, Al막의 측면과 층간 절연막과의 밀착성은 본래 좋다. 더욱이, 본 실시 형태의 경우, DD 배선(6)의 측면과 층간 절연막(2)과의 계면에 AlNb 합금막(7)이 형성되고, 이 AlNb 합금막(7)이 밀착층으로는 동작하므로, 더욱 밀착성이 높아져 이에 따라 SM 내성을 향상시킬 수 있게 된다.
상술한 바와 같이 Al3Nb층이 존재함으로써 EM, SM 내성이 향상한다. 이 신뢰성의 향상에는 형성되는 Al3Nb의 형상도 크게 영향을 준다. Nb/Al 적층막을 형성한 후, 기판을 가열한 경우, 계면에 형성되는 Al3Nb층은 막 위에는 형성되지 않고, 입상(粒狀)으로 형성된다. Al3Nb가 입상으로 형성된 쪽이 막상(膜狀)으로 형성되는 경우에 비해 Al과의 접촉 계면이 증가한다. 이 접촉 계면의 증가는 Al과 Al3Nb와의 밀착성을 높이고, EM, SM 내성을 더욱 향상시키는 원인이 되고 있다.
(제3 실시 형태)
도 9a 내지 도 9e는, 본 발명의 제3 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도이다. 또, 도 3a 내지 도 3e와 대응하는 부분에는 동일 부호를 붙이고 상세한 설명은 생략한다. 본 실시 형태가 제1 실시 형태와 주로 상이한 점은 Nb 라이너막 대신에 NbN 라이너막을 이용한 것에 있다.
먼저, 도 9a에 도시한 바와 같이, 제1 실시 형태와 마찬가지로, 소자가 형성된 Si 기판(도시생략) 상에, Al 배선(1),층간 절연막(2)을 차례로 형성한다.
다음에, 도 9a에 도시한 바와 같이, 층간 절연막(2)에 개구 지름 0.3μm 이하, 애스펙트비 3 이상의 접속홀(3)을 포함하는 복수의 접속홀(이하, 통합하여 접속홀3 이라 함)을 형성한다.
다음에 도 9b에 도시한 바와 같이, 층간 절연막(2)의 표면에서 두께15nm의NbN 라이너막(10)을 지향성 스퍼터법을 이용하여 형성한다. 구체적으로는, 예를 들면, Nb 타겟을 이용하여, Ar 개스와 N2개스의 혼합 개스 분위기 중에서의 화성(化成) 스퍼터에 의해 NbN 라이너막(10)을 형성한다.
다음에 도 9c에 도시한 바와 같이, Si 기판을 대기에 노출시키지 않고, 두께가 예를 들면 400nm인 제1 Al 막(5)을 지향성 스퍼터법에 의해 무가열로 형성한다.
다음에 도 9d에 도시한 바와 같이, Si 기판을 대기에 노출시키지 않고, Si 기판을 예를 들면 450°C로 가열하면서, 예를 들면 두께 400nm의 제2 Al막을 180∼300초의 시간으로 스퍼터법에 의해 형성하여, 접속홀(3)의 내부를 제1 및 제2 Al막(6)으로 충전한다.
마지막으로, 도 9e에 도시한 바와 같이, 접속홀(3)의 외부의 제1 및 제2 Al 막(6), AlNb 합금막(7), NbN 라이너막(10)을 RIE 법을 이용하여 선택적으로 제거함으로써, Al 콘택트층(6) 및 Al 배선층이 완성된다.
여기서, NbN 라이너막(10)이나 제1 Al막(5)을 형성하기 위한 지향성스퍼터법에는, 예를 들면 저압-롱 쓰로우 스퍼터법, 콜리메이션 스퍼터법, 바이어스 스퍼터법, 고밀도 플라즈마 스퍼터법을 이용해도 좋다. 이 경우도, Ar 개스(혹은 다른 것의 희개스)와 N2개스의 혼합 개스분위기 속에서 스퍼터를 행한다.
본 실시 형태에서도 제1 실시 형태와 동일한 효과를 얻을 수 있지만, 이하에 설명하는 바와 같이 Nb 라이너막을 이용한 경우보다도 이하의 점에서 우수하다.
화성(化成) 스퍼터법을 이용한 경우, NbN 라이너막 중의 질화량은, 스퍼터시의 Ar 개스/N2개스의 혼합비를 변화시킴으로써, 용이하게 조정할 수가 있다.
형성된 NbN 막 중에는 N 원자와 결합하고 있지 않은 Nb 원자가 존재하고, 이 미결합의 Nb 원자는 Al 원자와 반응한다. 따라서, NbN 라이너막중의 질화량의 제어에 의해, NbN 라이너막과 Al막의 반응속도를 제어할 수 있어, 동일 막 두께의 Nb 라이너막과 비교하여, 응집 억제 능력을 지속시킬 수 있다.
따라서, NbN 라이너막 중의 질화량의 제어에 의해, Al막의 응집 억제에 필요한 반응 속도 이상의 반응의 억제, 즉 접속홀 바닥부의 최저막 두께부의 NbN 라이너막의 소비량을 억제할 수가 있어, 동일막 두께의 Nb 라이너막과 비교하여, 더욱 높은 애스펙트비의 접속홀의 충전이 가능해진다. 혹은, 동일 애스펙트비의 접속홀이면, Nb 라이너막보다도 얇은 막 두께의 NbN 라이너막으로 접속홀을 충전할 수가 있다.
또한, Ti 라이너막에서는, Si 기판의 확산 영역에 직접 접속하는 접속홀에 사용한 경우, 리플로우시의 기판 가열에 의해 얼로이 스파이크를 발생하는 문제가 있다.
그 때문에, Ti 라이너막의 형성 전에, TiN 막 등의 확산 장벽 금속막을 형성할 필요가 있다. 그러나, NbN 라이너막은 Al과 Si 의 확산 장벽성이 뛰어나, Si 기판의 확산 영역에 직접 접속하는 접속홀에 사용한 경우라도, 얼로이 스파이크 발생을 방지할 수 있다. 또한, NbN 라이너막 중의 N량을 장벽 메탈로서 조정하면, NbN 라이너막 중의 Nb와 Al의 반응이 저하하는 경우가 있다. 이 경우는 Al의 반응량을 확보하기 위해 NbN 막 상에 Nb막을 적층해도 좋다.
(제4 실시 형태)
도 10a 내지 도 10c는, 본 발명의 제4 실시 형태에 따른 반도체 장치의 제조 방법을 도시하는 공정 단면도이다. 본실시 형태에서는, 제3 실시 형태에서 설명한 NbN 라이너막을 이용한 Al 리플로우법을 DD 배선의 형성방법에 적용한 경우에 대해 설명한다. 또, 도 3a 내지 도 3e 및 도 9a 내지 도 9e와 대응하는 부분에는 동일 부호를 붙이고, 상세한 설명은 생략한다.
먼저, 도 10a에 도시한 바와 같이, 제3 실시 형태와 같이, 소자가 형성된 Si 기판(도시 생략) 상에 Al 배선(1), 층간 절연막(2), 접속홀(3) 및 배선 트렌치(9)를 형성한다.
다음에 도 10b에 도시한 바와 같이, 제1 실시 형태와 동일한 조건에서, NbN 라이너막(10), 제1 Al막을 형성한 후, 제2 Al 막을 형성하면서, 접속홀(3) 및 배선 트렌치(9)의 내부를 Al 리플로우에 의해 제1 및 제2 Al막(6)으로 충전한다.
마지막으로, 도 10c에 도시한 바와 같이, 접속홀(3) 및 배선 트렌치(9)의 나머지 제1 및 제2 Al 막(6) 등을 CMP를 이용하여 연마제거함으로써, DD 배선(6;제2 Al 배선 6a와 Al플러그 6b)이 완성된다.
본 실시 형태에서도 제2 실시 형태와 동일한 효과가 얻어진다. 다만, NbN 라이너막과 Al막과의 반응 속도는, Nb 라이너막과 Al 막과의 반응속도 보다도 느리게 할 수 있으므로, NbN 라이너막(10)의 막 두께를 박막화할 수 있고, 배선 저항을 더욱 작게 할 수가 있다. 또한, 접속홀(3) 및 배선 트렌치(9)에 형성되는 AlNb 합금막(7)의 양도 적게 할 수 있게 되어, 이에 따라 배선 저항도 더욱 작게 할 수 있다.
(제5 실시 형태)
도 11a 내지 도 11f는, 본 발명의 제5 실시 형태에 따른 반도체 장치의 제조 방법을 도시하는 공정 단면도이다.
먼저, 도 11a에 도시한 바와 같이, 소자(도시생략)가 형성된 Si 기판상(21)에 제1 층간 절연막(22)을 통해 제1 배선층(23)을 형성한다. 이 제1 배선층(23)은, 예를 들면 W 배선이나 Al 배선이다. 또한, 제1 배선층(23)은 다마신 배선이다.
다음에 도 11a에 도시한 바와 같이, 제1 층간 절연막(22), 제1 배선층(23) 상에 제2 층간 절연막(24)을 형성한다. 여기서, 제2 층간 절연막(24)은, TEOS를 소스 개스에 이용한 플라즈마 CVD에 의한 CVD 절연막, HDP-CVD에 의한 F첨가 저유전율 절연막, 혹은 유기 SOG막 등의 도포 절연막이다.
본 발명의 Nb 라이너막을 이용한 Al리플로우를 저유전율 절연막, 예를 들면 F 첨가 SiO2막이나 유기 SOG막과 조합시킨 경우, Ti나 Ta를 라이너막으로 이용한 경우와 비교해서 충전 능력을 저하시키지 않는다고 하는 프로세스 상의 메리트와, 저유전율 절연막과 Nb 또는 Al3Nb층이 밀착되어 신뢰성을 향상시키는 제조상의 메리트가 있다.
일반적으로 첨가 SiO2막이나 유기 SOG 막은 가열시의 탈(脫)개스가 많다.탈개스의 주성분은 물이고, 라이너에 Ti나 Ta를 이용한 경우, 탈착한 물에 의해 라이너 재료가 산화해버린다. 라이너 재료의 산화는 Al과의 반응을 억제하고, 제1 Al의 응집을 억제하는 효과나 Al과 저유전율 절연막과의 밀착성을 향상시키는 효과를 상실시킨다. 따라서, 저유전율 절연막과 Ti나 Ta 라이너막을 조합하여 사용한 경우, 접속홀의 충전 능력이 큰 폭으로 저하하는 프로세스상의 문제와, 신뢰성이 저하하는 제조상의 문제가 있다.
이에 대해 Nb는 Ti나 Ta와 비교해서 산화물을 형성하는 표준 생성 자유 에너지가 높고, 산화 내성이 높다. 따라서, 저유전율 절연막으로부터 이탈된 물에 의해 변질되기 어렵고, 라이너로서의 성질을 유지할 수 있어, 접속홀의 충전 능력을 향상시키고 신뢰성을 확보할 수 있다.
다음에 도 11b에 도시한 바와 같이, 제1 배선층(23)에 접속하는 접속홀(25)을 포토리소그래피와 RIE를 이용하여 제1 층간 절연막(24)에 형성한다.
이하, 로드·언로드실(시료 준비실), 디-개스실, 역스퍼터실, Nb 스퍼터실, 제1 Al스퍼터실, 제2 Al스퍼터실 및 기판 냉각실을 반송실에 접속한 클러스터 툴을 이용하여, 진공에서 연속적으로 Nb 라이너막, 제1 Al막, 제2 Al막을 차례로 형성하고, 제1 Al막 및 제2 Al막을 유동시켜, 접속홀(25)의 내부를 Al로 충전하는 방법에 대해 설명한다(도 9c ∼도 9e). 각 실간의 이동은 반송실을 통해 행하여진다.
먼저, 디-개스에는, 정전 체크부의 PBN 히터를 이용한다. 즉, PBN 히터에 의해, 300∼450℃의 온도 범위에서 2분 이상 기판을 가열함으로써 디-개스를 행한다.
여기서, PBN 히터의 대신에, 할로겐 램프 히터를 사용해도 좋다. 또한, 디-개스실은 독립 배기계(排氣系)를 가지며, 디-개스 공정 중에 기판으로부터 이탈한 개스로 반송실의 베이스 진공도가 열화하지 않도록 되어 있다.
다음에 역스퍼터실 내에서, 접속홀(25)의 저면에 노출된 제1 배선층(23)의 표면의 자연 산화막이나, 접속홀(25)의 형성시에 부착된 오염 등을 등을 역스퍼터에 의해 제거한다. 역스퍼터는, 평행 평판형이거나 유도 결합형 등의 플라즈마 스퍼터 장치를 이용하여 행하면 좋다.
또, 제1 배선층(23)이 W 배선층 등인 경우에는, 유기 알카리 용액에 의한 세정으로 자연 산화막이나 접속홀(25)의 형성시에 부착된 오염을 제거할 수 있기 때문에 역스퍼터를 행할 필요는 없다.
다음에 도 11c에 도시한 바와 같이, 접속홀(25)의 내면 전면을 피복하도록, 두께 7.5 ∼ 50nm의 Nb 라이너막(26)을 롱 쓰로우 스퍼터법(long-throw sputtering method)을 이용하여 형성한다.
여기서, Nb 타겟의 직경은 약 300mm, Nb 타겟·기판 사이의 거리는 300mm, 투입 파워는 8kW 이상, 그리고 Ar 압력은 0.02 ∼0.lPa 이다. 또한 기판은 무가열로 하던지, 혹은 스퍼터 중의 기판 온도 상승을 피하기 위해서 기판은 냉각한다.
다음에 도 11d에 도시한 바와 같이, 접속홀(25)의 내면 전면을 피복하도록, 제2 Al 배선층이 되는 두께 250∼700nm의 제1 Al막(27)을 롱 쓰로우 스퍼터법을 이용하여 형성한다.
여기서, Al 타겟의 직경은 약 300mm, Al 타겟·기판 사이의 거리는 300mm,투입 파워는 10kW 이상, 그리고 Ar 압력은 0.01∼0.1 Pa이다. 또한, 기판은 무가열로 하던지, 혹은 스퍼터 중의 기판 온도 상승을 피하기 위해 냉각한다.
다음에 도 11e에 도시한 바와 같이, Si 기판을 430∼495℃로 가열하면서, 제2 Al 배선층이 되는 두께 50∼600 nm의 제2 Al막을 표준적 스퍼터법을 이용하여 성막속도 3.33nm/sec로 형성함과 동시에, 제1 및 제2 Al 막(28)을 유동시켜, 접속홀(25)의 내부를 제1 및 제2 Al막(28)으로 충전한다. 표준적 스퍼터법이란, 예를 들면 직경이 약 300mm인 Al타겟을 이용하여, 타겟·기판 사이의 거리를 60mm로 설정하여 스퍼터를 하는 방법이다.
이때, 접속홀(25)과 제1 및 제2 Al막(28)과의 계면에는, Nb 라이너막(26)과 제1 Al 막(27)과의 반응 생성물인 AlNb 합금막(29)이 형성된다.
여기서는, 표준적 스퍼터법을 이용하여 제2 Al막을 형성하였지만, 성막 속도를 동등하다고 하면, 즉 성막 속도를 3.33 nm/sec라고 하면, 롱 쓰로우 스퍼터법을 이용하여 제2 Al막을 형성하더라도 문제는 없다.
마지막으로, 도 11f에 도시한 바와 같이, 제1 및 제2 Al막(28), AlNb 합금막(29), Nb 라이너막(26)을 포토리소그래피와 RlE에 의해 가공하여, 제2 Al 배선층(30)이 완성된다.
본 발명자 등의 연구에서는, Nb 막을 높은 스퍼터 전력으로 형성하면, Nb 막의 기판 표면에 대하여 수직인 축 방향(이하, 기판 수직축 방향이라 함)의 <110> 배향이 높아지는 것이 분명해졌다. 또한, <110> 배향한 Nb막 상에 형성한 Al막은, 기판 수직 방향으로 매우 우수한 <111> 배향을 나타내는 것이 분명해졌다.
X선 회절법으로 측정한 Nb 막의 Nb<110> 피크 및 Nb막 상에 형성한 Al막의 Al<111> 피크의 록킹 커브의 반값폭의 스퍼터 전력 의존성을 나타낸다.
도 12로부터, 스퍼터 전력이 높아짐에 따라, Nb<110> 피크 반값폭이 작아지고, 또한 Al<111> 피크 반값폭도 작아지는 것을 알 수 있다. 피크 반값폭은 작을수록 그 방위의 배향도가 높은 것을 나타내고 있다.
<110> 배향이 높은 Nb 막의 표면은 (110)면이 되고 있고, 이 면의 Nb의 격자 상수가 Al(111) 면의 Al 격자 상수와 가깝다. 그 결과, Nb 막의 결정 구조를 Al 막이 이어 받고, Al막은 <111>로 배향하는 것으로 생각된다.
또한 도 12에 의하면, 직경 200mm의 웨이퍼의 센터 부분에 비교하여, 엣지 부분에서는 Nb<110> 배향성, Al<111> 배향성도 함께 열화하고 있음을 알 수 있다. 이것은, Nb 스퍼터 입자의 진행 방향이, 기판 표면에 대하여 수직인 축에 대하여 경사져 있는 것에 따른 것으로 생각된다.
Nb 막의 형성에 이용한 롱 쓰로우 스퍼터법은, 웨이퍼 면적에 대해 타겟 면적이 충분히 크지 않은 경우, 스퍼터 입자의 진행 방향에 비대칭성이 있어, 웨이퍼 에지에서는 경사 입사 성분이 증가한다.
이 경사 성분이 Nb 막의 배향성을 열화시키고, 나아가서는 Al막의 배향성을 열화시키는 것으로 생각된다. 그러나, 이 웨이퍼면 내의 배향성의 변동도, 스퍼터 전력을 크게 함으로써 완화된다.
이 Al 배선에서는 <111> 배향성이 높을수록, 일렉트로 마이그레이션 내성이 우수하다. 이것은, <111> 배향성이 높은 Al 막에서는 확산 계수가 큰 불안정한 결정 입계가 감소하기 때문이다.
도 13에, Al<111> 배향도와 EM 신뢰성과의 관계를 도시한다. 종축은 고온, 고전류 밀도의 가속 시험하에서 Al 배선이 단선에 이르기 까지의 수명을 나타내고 있다.
도 13으로부터, <111> 배향성이 높을수록, 즉 반값폭이 작을 수록, Al 배선의 EM 내성은 향상하는 것을 알 수 있다.
Al<111> 피크 반값폭 ω와 EM 라이프타임 τ와의 사이에는, 경험적으로 τ ∝ (1/ω)2의 관계가 있다. 또, 도면 중, 흑공(동그라미)은, 종래부터 LSI의 Al 배선의 기초 재료에 이용되고 있는 Ti/TiN막 상에서의 Al 배선의 배향도를 나타내고 있다.
도 12와 도 13에 의하면, Nb 라이너막을 8kW 이상의 스퍼터 전력으로 스퍼터 형성하면, 웨이퍼 전면에서 종래의 Al 배선보다 높은 배향도가 얻어지는 것을 알 수 있다.
바꿔 말하면, Nb 막의 Nb<110> 피크 반값폭이 5.21° 이하라면, Al<111> 피크 반값폭이 1.92 이하가 되어, 종래의 Al 배선보다 높은 배향도가 얻어지는 것을 알 수 있다.
그런데, 스퍼터 전력은 전압과 전류와의 곱이고, 타겟지름, 타겟·기판 거리, Ar 압력에 의해서 변화하기 때문에, Nb 막이나 Al막의 배향성에 대하여 일률적인 파라메터는 아니다.
Nb 막이 <110> 배향하는 것은, Nb 스퍼터시의 Nb 막의 성장 과정에 기인하고 있다. 즉, Ar+이온에 의해서 타겟으로부터 방출된 운동 에너지를 갖는 Nb 입자(Nb 스퍼터 입자)는, 기판에 충돌할 때, 그 운동 에너지 때문에 기판 위를 이동한다.
따라서, 이 이동거리가 클수록 Nb는 안정된 사이트로 이동하는 것이 가능해져, 안정 결정면인 (110)면을 표면화하면서 성장할 수 있다. 즉, 날아오는 Nb 입자가 갖는 운동 에너지가 클수록, Nb는 <110> 배향할 수 있다.
이 Nb 입자의 운동 에너지는, 타겟에 인가된 마이너스의 전압에 인입되기 때문에, 타겟에 가하는 전압값의 절대치가 클 수록, Nb의 운동 에너지는 커진다.
도 14에, 스퍼터 전압(타겟 전압)과의 관계를 도시한다. 스퍼터 전력이 커질수록 스퍼터 전압의 절대치도 높아지는 것을 알 수 있다.
또한, 도 12, 도 13 및 도 14로부터, 타겟 전압을 -380V 이하로 설정함으로써, Al<111> 배향도를 종래의 Al 배선의 그것보다도 높게 할 수 있음을 알 수 있다.
일반적으로, 스퍼터 전력을 증가시키면, 성막 속도는 빨라진다. 본실시 형태와 같이, 두께 7.5∼50nm라는 얇은 Nb 라이너막(26)의 경우, 큰 스퍼터 전력으로 Nb 라이너막(26)을 형성하면, 스퍼터 속도가 빠르기 때문에 정확한 막 두께 제어를 할 수 없게 되어, 그 결과로서 웨이퍼끼리의 막 두께가 다르거나, 혹은 동일한 웨이퍼에서도 면내에서 막 두께의 변동이 생긴다고 하는 문제가 일어난다.
도 15에, Nb 막을 형성할 때의 스퍼터 전력과 스퍼터 속도(성막 속도)와의관계를 나타낸다. 스퍼터 전력을 증가시켜도, 스퍼터 속도는 그것에 비례하여 크게는 되지 않고, 포화하는 경향을 나타냄을 알 수 있다.
Nb <110>배향성이 실용적이 되는 타겟 전압 -380V 이상에 대응하는 스퍼터 전력에 있어서도, 1∼1.3nm/sec 정도의 스퍼터 속도이고, 막 두께 7.5∼50 nm의 성막이라도 충분히 제어성이 있다.
본 발명자 등의 연구에 따르면, 기판에 충돌하는 Nb 입자의 운동 에너지를 크게하는 것이 배향성을 향상시키는 본질이기 때문에, 운동 에너지를 타겟전압으로 제어하는 것에 한정되지 않는다.
즉, 스퍼터에서는 Nb 입자의 일부는 양이온화하고 있고, 기판측에 부의 전압을 인가하면, 타겟 전압을 높게 하지 않아도, 이온화한 Nb 입자는 기판에 가속하여 인입되기 때문에, Nb 입자의 운동 에너지가 커진다.
이온화 효율을 유도 결합형 플라즈마 등에 의해 높이면 보다 효과가 있다. 이러한 방법을 이용하더라도 Nb 라이너막(26)을 고배향화하는 것이 가능하고, 나아가서는 제2 Al 배선층(30)의 배향성이 향상하여 배선 신뢰성도 향상한다.
그러나, 기판에 바이어스를 인가하여 일부 이온화한 Nb 입자를 인입하고, 배향성을 향상시키는 경우에는 다음 사항에 주의해야 한다.
예를 들면, 바이어스 스퍼터법과 같이 기판에 바이어스를 인가한 경우, 이온화한 스퍼터 개스의 Ar도 기판에 인입되어 Nb 라이너막(26) 중에 취입된다.
Nb 라이너막(26) 중에 취입된 Ar은 후공정의 리플로우의 가열 공정, 혹은 제2 Al 배선층(30)의 형성 후의 소결 공정 등의 열처리에 의해, Nb 라이너막(26)으로부터 방출되어, Nb 라이너막(26)과 제2 Al 배선층(30)과의 계면에서 박리가 생긴다.
이러한 박리를 방지하기 위해서는, Ar 유량을 감소시켜 Nb 라이너막(26) 중에 취입된 Ar량을 감소시키는 등의 조정이 필요하다.
또한, 바이어스 스퍼터법에 의한 Nb 막의 형성에서는 기판에 RF 전력을 투입하는 기능이 필요하게 되어 장치비용이 증가한다. 또한, 바이어스스퍼터법은 성막과 에칭이 동시에 일어나는 성막법이고, 성막속도가 저하하여 작업 처리량이 저하한다.
더욱이 성막 초기부터 기판 바이어스를 인가하여 에칭을 행하면, 층간 절연막(SiO2막)의 일부가 에칭되어, 에칭된 SiO2가 Nb 라이너막(26)에 취입되어, Nb 라이너막(26)의 막질을 열화시킬 가능성이 있다.
이러한 관점에서, 타겟의 전압 조정만으로 Nb 라이너막(26)을 고 배향화할 수 있고, 또한 제2 Al 배선층(30)의 배향성을 향상시킬 수 있는 본실시 형태의 방법은, 바이어스 스퍼터법이나, 기판 바이어스를 인가하여 Nb 이온을 인입하는 방법에 비해 간편한 방법이라고 할 수 있다.
이밖에도, Nb 스퍼터 시의 개스 압력을 저하시키는 것도, 타겟에 인가하는 전압의 절대치를 증가시키는 데 유효하다.
예를 들면, 타겟 지름 300 mm, 타겟·기판 간 거리 300mm의 롱 쓰로우 스퍼터에 있어서, 스퍼터 전력이 2kW인 때, 0.053 Pa의 Ar 압력으로는 타겟 전압은 -330V 이지만, 0.026Pa의 Ar 압력에서는 타겟 전압은 -397V 까지 그 절대치가 증가한다.
이 0.026 Pa의 Ar압력, 타겟 전압 -397인 때의 Al<111> 피크 반값폭은 1.4°이고, 이것은 EM 내성을 향상시키기에 충분히 높은 배향성이다.
또한, 롱 쓰로우 스퍼터에서는, Ar 개스 압력을 저하시키면, Ar 개스에 의한 Nb 스퍼터 입자의 산란이 억제되기 때문에, Nb 스퍼터 입자의 지향성이 높아진다.
애스펙트비가 높은 접속홀(25)에서는, 접속홀 측벽 바닥부에까지 Nb 라이너막(26)을 형성하는 것이 필요하다. Nb 스퍼터 입자의 지향성을 높이는 것은 접속홀 측벽 바닥부로의 Nb 스퍼터 입자의 입사 확률을 증가시켜, 그 결과로서 고 애스펙트비의 접속홀의 Al충전이 가능하게 된다.
더욱이, Nb 스퍼터 입자의 운동 에너지를 크게 하는 것은, 접속홀(25)으로의 Al 충전 특성도 향상시킨다. 즉, 높은 운동 에너지를 갖는 Nb 스퍼터 입자로 형성된 Nb 라이너막(26)을 이용할수록 보다 높은 애스펙트비의 접속홀(25)까지 제2 Al 배선층(30)으로 충전할 수 있다.
도 16에, Nb 스퍼터 전력과, Al 충전이 가능한 접속홀의 애스펙트비의 최대치(이하, Al충전 한계 애스펙트비라 함)와의 관계를 도시한다.
Nb 스퍼터 전력이 커질 수록, Al 충전 한계 애스펙트비가 커지고 있음을 알 수 있다. Nb 라이너막(27)은, 제1 및 제2 Al막(28)의 응집을 억제하기 위해 형성한다. 그 때문에, 접속홀(25)의 측면이나 바닥부에 형성될 필요가 있다.
상술한 바와 같이, Nb 스퍼터 입자의 운동 에너지를 크게한 경우, 기판에 있어서의 Nb 스퍼터 입자의 이동이 촉진되기 때문에, 접속홀(25)의 내면의 Nb 라이너막(26)의 피복성이 향상한다.
Nb 라이너막(26)의 피복성의 향상은, 접속홀 측벽 바닥부에서 일어나기 쉬운 제1 및 제2 Al막(28)의 응집을 억제할 수 있고, Al 리플로우에 의해서 충전할 수 있는 접속홀(25)의 애스펙트비를 높인다.
또한, Al (111)면은 그 표면 에너지가 Al의 다른 결정면과 비교해서가장 낮고, Al원자의 확산이 막표면에서 활발화하기 때문에, <111> 배향한 Al막은 그 유동성이 높아진다.
따라서, Nb 스퍼터 입자의 운동 에너지를 높임으로써, 접속홀(25)의 내면의 Nb 라이너막(26)의 피복성 및 Al유동성을 향상시킬 수 있고, 그 상승 효과로서 Al충전 특성이 대폭 향상하여, 애스펙트비가 높은 접속홀(25)의 내부를 제1 및 제2 Al 막(28)으로 용이하게 충전할 수 있게 된다.
도 21에, Nb 막을 -380V 이하의 타겟 전압으로 스퍼터형성하고, 다음에 Nb막 상에 Al막을 무가열로 형성하고, 다음에 450℃의 열처리를 행한 경우의 Al<111> 배향성의 시간 변화를 나타낸다. 열처리는 기판을 가열함으로써 행하였다.
Al 막의 배향성은 열처리 시간이 길어지는 만큼 향상하고 있음을 알 수 있다. 즉, 고배향한 Nb막 상에 무가열로 형성한 Al 막은, 형성 초기에는 배향성이 낮고, 열처리 과정에서 Nb 격자 정보를 Al이 승계함으로써, 배향성이 향상하는 것으로 생각된다. 따라서, 고배향화한 Nb막 상에 무가열로 형성한 Al막을 고배향화하기 위해서는, Al막의 형성 후에 열처리를 하는 것이 불가결하다.
여기서는, 무가열로 Al막을 형성한 뒤에 열처리를 한 경우에 대해 설명하였지만, 기판을 가열하면서 Al막을 형성한 경우에도 마찬가지로 고 배향화의 효과가 얻어졌다. 다만, 기판을 가열하는 경우, 무가열의 경우에 비해, Nb 막의 표면이 산화하기 쉬우므로, 장치의 진공도 등을 제어할 필요가 있다.
(제6 실시 형태)
도 17a 내지 도 17d는, 본 발명의 제6 실시 형태에 따른 반도체 장치의 제조 방법을 나타내는 공정 단면도이다. 도 11a 내지 도 11f와 대응하는 부분에는 도 9과 동일부호를 붙이고, 상세한 설명은 생략한다.
먼저, 도 17a에 도시한 바와 같이, 제5 실시 형태와 마찬가지로, 소자(도시생략)가 형성된 Si 기판(21) 상에, 제1 층간 절연막(22), 제1 배선층(23), 제2 층간 절연막(24), 접속홀(25)을 형성한다.
다음에 도 17b에 도시한 바와 같이, 포토리소그래피와 RIE에 의해 제2 층간 절연막(24)에 제2 배선층 용의 배선 트렌치(31)를 형성한다.
다음에 도 17c에 나타낸 바와 같이, 제5 실시 형태에서 설명하였던 것과 같은 클러스터 툴을 이용하여, 제5 실시 형태와 동일한 조건으로 디-개스, 역스퍼터, Nb스퍼터, 제1 Al 스퍼터 및 제2 Al스퍼터를 행하여, 접속홀(25)및 배선 트렌치(31)의 내부를 Nb 라이너막(26)을 통해 제1 및 제2 Al막(28)으로 충전한다.
마지막으로, 도 17d에 도시한 바와 같이, 접속홀(25)및 배선 트렌치(31)의 외부의 제1 및 제2 Al막(28)을 CMP에 의해 제거하여, 제1 및 제2 Al막(28)으로 이루어지는 제2 Al 배선층(A1듀얼 다마신 배선;32)이 완성된다.
제5 실시 형태에서는, 높은 스퍼터 전력을 이용하여 스퍼터 형성한 Nb 라이너막은 <110> 배향하고, 또한 이 고배향한 Nb 라이너막 상의 Al 배선층은 높은 <111> 배향을 나타내는 것을 설명하였다.
그러나, 본 실시 형태와 같은 다마신형 배선의 경우, 제2 Al 배선층(32)은, 2측면(접속홀 측면과 배선 트렌치 측면)에 대하여 수직인 축방향, 및 1저면(배선 트렌치 저면)에 대하여 수직인 축방향으로 <111> 배향할 가능성이 있다.
도 18에, 배선 트렌치가 복수개 동시에 형성된 기판 상에서의 Nb 스퍼터 전력과 Al<111> 배향과의 관계를 나타낸다.
배선 트렌치 상의 Al 막도 스퍼터 전력이 증가함에 따라서, 기판 수직축 방향 즉, 배선 트렌치 저면에 대해 수직인 축방향으로 높은 <111> 배향을 나타냄을 알 수 있다. 즉, 2측면으로부터의 배향보다도 기판 표면이나 배선 트렌치 저면의 배향이 지배적이고, 배선 트렌치 내의 Al막의 배향성도 8kW 이상이며 Nb 막을 스퍼터하면 높은 신뢰성이 얻어진다.
특히, 롱 쓰로우 스퍼터법 같은 지향성 스퍼터 법으로 Al막을 형성한 경우에는, 배선 트렌치의 측면에 Al막은 얇고, 기판 표면이나 배선 트렌치 저면의 Al막은 두텁게 된다.
따라서, 공형(共形)으로 형성되는 CVD 법에 의한 Al막과 비교하여, 보다 기판 표면이나 배선 트렌치 저면으로부터의 배향이 지배적이 되어, 측면으로부터의 배향의 영향을 줄일 수 있다.
또한, 다마신 배선의 경우, 2측면과 1측면에 Nb 라이너막(26), 혹은 AlNb 합금막(29)/Nb 라이너막(26)이 존재한다. 이들은 제2 Al 배선층이 단선된 경우에 도통이 가능해지는 보상 도선으로서 기능하기 때문에, EM 내성의 향상에 기여한다.
따라서, 고배향 Al 막의 효과와 보상 도선의 효과를 짜맞추면 그 상승 효과에 의해, Al 듀얼 다마신 배선(이하, 단순히 다마신 배선이라 함;32)의 EM 내성은 현저히 향상한다.
또한, Nb 라이너막(26)과 제1 Al 막(27)은 반응하여 AlNb 합금막(29)을 형성하기 때문에, 다마신 배선(32)의 밀착성이 향상한다. 밀착성이 우수한 계면에서는 Al의 확산 계수가 낮아지기 때문에, Al·DD 배선(32)의 SM 내성은 현저히 향상한다.
제5 실시 형태에서 설명한 바와 같이, <111> 고배향한 Al막 중에는 확산계수가 큰 불안정한 결정 입계가 감소하기 때문에, SM 내성도 향상한다.
따라서, 고배향 Al막의 효과와 밀착성의 효과를 조합하면 그 상승효과에 의해, 다마신 배선(32)의 EM 내성은 현저히 향상한다.
도 12에서는, 배선 트렌치 상의 Al 배향성을 평균화하여 평가하였지만, 본 발명자 등은 또한 다마신 배선의 길이 방향에 대하여 수직면 및 평행면의 Al 배향성을 상세히 조사하였다.
도 19에, X선 회절법에 의해서 다마신 배선(32)의 길이 방향(이하, 배선 길이 방향)과 평행한 방향으로 X선을 입사한 경우에 얻어지는 다마신 배선(32)의 Al<111> 피크의 록킹 커브의 반값폭(A)과, X선 회절법에 의해서 배선 길이 방향과 수직인 방향으로 X선을 입사한 경우에 얻어지는 다마신 배선(32)의 Al<11l> 피크의록킹 커브의 반값폭(B)을 도시한다.
배선 길이 방향과 평행한 방향으로 X선을 입사한 경우(x축),배선 길이 방향에 대하여 평행한 방향에 관련해서 Al<111> 배향의 변동을 측정할 수 있다. 한편, 배선 길이 방향과 수직인 방향으로 X선을 입사한 경우(y 축), 배선 길이 방향에 대하여 수직인 방향에 관해서 Al<111> 배향의 변동을 측정할 수 있다.
y축에 X선을 입사한 경우가, x축 방향에 X선을 입사한 경우 보다도, Al<111> 피크의 록킹 커브의 반값폭이 큰 것을 알 수 있다. 이것은, 배선 트렌치 측면으로부터의 Al배향에 의해, 기판 수직축 방향의 Al<111> 배향이 배선 길이 방향에 대해 수직인 방향에서 어긋남이 생기고 있는 것으로 생각된다.
L/S (라인/스페이스: 라인이 배선 트렌치에 대응)가 1.0μm/1.0μm 보다도 0.25μm/0.25μm 쪽이 Al<111> 피크의 록킹 커브의 반값폭이 커지고 있음을 알 수 있다.
이것은, 도 19의 실험에 이용한 시료로는 1.0μm/1.0μm의 L/S의 경우, 배선 트렌치 측면보다도 배선 트렌치 저면쪽이 다마신 배선과의 접촉 면적이 넓기 때문에, 배선 트렌치 저면으로부터의 배향이 지배적이 되고 있는 것으로 생각된다. 그러나, 0.25/0.25μm L/S의 경우, 배선 트렌치 저면보다도 배선 트렌치 측면에서의 접촉 면적이 넓기 때문에 측면의 영향을 더 받아, y축에 X선을 입사한 경우의 Al<111> 배향이 변동된다고 생각된다.
이와 같이 다마신 배선의 경우, 배선 트랜치 측면의 영향에 의해 배선 길이 방향에 대해 수직인 면에서는 Al<111> 방위에 어긋남이 생기는 경향이 있다. 또한, 이러한 배향성의 어긋남은 배선폭이 미세화할수록 현저해진다.
배선 신뢰성의 관점에서는, 특히 EM 내성을 향상시키기 위해서는, 기판 수직축 방향으로 Al<111> 배향시켜, 확산 계수가 큰 불안정 입계를 되도록이면 감소시키는 것이 바람직하다.
그러나, 다마신 배선의 경우, 배선 길이 방향에 대하여 수직면에서의 배향성의 어긋남은, 배선 트렌치의 측면의 영향을 받고 있기 때문에 랜덤한 흐트러짐이 없고, 또한 배선 길이 방향에 대해 평행한 수직면에서의 배향성은 확보되어 있기 때문에, 불안정 입계가 증가하지 않고, EM 내성 열화의 문제는 생기지 않는다.
또한, 고배향한 Al막을 열처리하면 돌발적으로 결정립이 융기하는 형상의 힐록이 생기기 쉬운 것으로 알려져 있다. 이것은, 배향성이 높은 다수의 결정립에 둘러싸인 배향성이 낮은 소수의 결정립이 있으면, 그 배향성이 낮은 결정립에 응력이 집중하여, 돌발적인 힐록이 발생한다고 생각된다. 이러한 힐록은 나아가서는 배선의 단락을 초래한다.
이러한 문제에 대해, 배선 길이 방향에 대하여 수직인 면에서 Al 배향성이 틀어지는 다마신 배선은, 결정립의 응력을 분산시키는 것이 가능하고, 돌발적으로 발생하는 힐록을 방지할 수 있다. 이러한 각 축 방향에 대한 Al 배향성의 어긋남은 Nb를 고배향화시켜 상층의 Al을 고 배향시킨 본 발명에서 현저화하고, 스트레스 마이그레이션에 대한 내성이 향상하는 것이 비로소 명확해졌다.
따라서, y축 방향으로 X선을 입사한 경우가 x축 방향으로 X선을 입사한 경우 보다도 Al<111> 피크의 록킹 커브의 반값폭이 큰 다마신 배선을 형성함으로써, 배선 단락이라는 문제를 회피할 수 있고, 또한 상술한 바와 같이 EM 내성 열화의 문제도 없으므로 신뢰성을 향상시킬 수가 있다.
또, 본 발명은 상기 실시 형태에 한정되는 것이 아니다. 예를 들면, 제1 ∼제4 실시 형태에서는, Nb 라이너막 혹은 NbN 라이너막을 지향성 스퍼터법에 의해 형성하고, 제1 Al 막을 무가열로 지향성 스퍼터법에 의해 형성하며, 계속해서 제2 Al막을 가열하면서 스퍼터법으로 형성하는 방법에 대해 설명하였지만, Nb 라이너막 혹은 NbN 라이너막을 형성한 후, 1층의 Al막을 가열하면서 스퍼터법으로 형성해도 좋다.
왜냐하면, Nb 라이너막 혹은 NbN 라이너막이 있기 때문에, 가열하면서 Al막을 형성하더라도 Al 막은 Nb 라이너막 혹은 NbN 라이너막과의 반응에 의해, 응집이 억제되어, 리플로우에 의해 충전할 수가 있기 때문이다.
또한, 제1 ∼제4 실시 형태에서는, Nb막 혹은 NbN 막을 지향성 스퍼터법에 의해 형성하고, 제1 Al막을 무가열로 지향성 스퍼터법에 의해 형성하며, 계속해서 제2 Al 막을 가열하면서 스퍼터법으로 형성하는 방법에 대해 설명하였지만, 제l A1막을 CVD로 형성해도 좋다.
왜냐하면, Nb 라이너막 혹은 NbN 라이너막이 있기 때문에, CVD로 Al 막을 형성하더라도 Al막은 연속막이 되고, 또한 CVD는 공형으로 성막할 수 있기 때문에, 접속홀 바닥부에서의 Al 막 두께를 후막화(厚膜化)할 수 있다.
그러나, 유기 원료 개스를 이용한 CVD에 의해 형성된 Al 막은, 카본 등의 불순물이 혼입하여, 배선층의 신뢰성이 저하한다고 하는 문제가 있다. 이 경우에는,CVD 법에 의해 제1 Al막을 얇게 형성하고, 다음에 스퍼터법에 의해 제2 Al막을 두텁게 형성하면, 신뢰성의 저하를 초래하는 일없이 원하는 두께의 배선층을 형성할 수 있다.
또한, 제1 ∼제4 실시 형태에서는, Nb막 혹은 NbN막을 지향성 스퍼터 법에 의해 형성하는 방법에 관해서 설명하였지만, Nb막 혹은 NbN막을 CVD 로 형성해도 좋다. 그 이유는 이하와 같다.
스퍼터법에서는, 접속홀 바닥부의 Nb막 혹은 NbN막이 박막화한다. 따라서, 접속홀 바닥부에서의 Al응집 억제에 필요한 Nb막 혹은 NbN막 두께를 확보하기 위해서, 배선 트렌치 내면에는 불필요한 Nb막 혹은 NbN막이 두텁게 형성된다. 이 Nb 막 혹은 NbN 막은 배선부의 실질적 Al단면적을 저하시켜, 배선 저항의 증가를 초래한다.
한편, CVD 법에서는 접속홀이나 배선 트렌치에 Nb 막 혹은 NbN 막을 공형으로 형성할 수 있기 때문에, 막 두께가 얇게 되기 쉬운 접속홀바닥부에 Nb 막 혹은 NbN 막을 두텁게 형성할 수 있다. 이에 따라 배선 트렌치 내면에 Nb 막 혹은 NbN 막을 필요 이상으로 형성하지 않고 끝나기 때문에, 배선 저항의 증가를 보다 효과적으로 방지할 수 있다.
또한, 실온에서 증기압이 높은 불화 Nb에 의해, Nb 막은 용이하게 CVD를 행할 수 있다. 또한, NbN 막은, 불화 Nb와 암모니아와의 혼합개스를 이용하면 형성할 수가 있어, 그 혼합비를 조절함으로써, NbN 막의 질화량을 제어할 수가 있다.
또한, NbN 막은 Nb 막을 형성한 후에 후 질화(後窒化)에 의해 형성해도 좋다. 후 질화에는, N2나 NH3등의 분위기 중에서 열처리하는 열질화나 플라즈마하에서 N+이온을 Nb 막에 조사하는 플라즈마 질화 등이 있다.
특히 플라즈마 질화에서는, Si 기판을 저온으로 할 수 있다. 또한, DD 구조에서는, 접속홀 내면보다 배선 트렌치 내면쪽이 N+이온의 충돌 확률이 높기 때문에, 배선 트렌치 내면에 형성된 Nb 막을 우선적으로 질화할 수 있다. 배선부에서의 Nb 막과 Al 막의 반응 생성의 생성량을 적게 할 수 있게 되고, 배선 저항을 저하할 수 있다. 이때, N+이온의 충돌 확률은, 개스압으로 조절하면 좋다.
또한, 제1 ∼제4 실시 형태에서는, Si 기판을 450℃로 가열하면서 Al 막을 리플로우시켰지만, Si 기판의 가열을 복수의 단계로 나눠 Al 막을 리플로우시켜도 좋다.
예를 들면, 리플로우의 전반을 저온에서 행하고, 리플로우의 후반을 고온에서 행한다. 이러한 2스텝 가열에 따르면, 리플로우 전반 시간이 저온인 것부터, Nb 막 혹은 NbN 막과 Al 막과의 반응이 억제되어, Al 막의 응집이 억제된다.
여기서, 리플로우의 전반시(前半時)는 저온시간인 것부터, Al 막의 유동량은 감소하므로, 접속홀의 내부의 Al막 중에는 보이드가 잔존하여 버린다. 특히 층간 절연막으로서 저유전율의 절연막을 이용한 경우에는, 절연막으로부터 개스가 방출되어, 보이드의 잔존이 일어나기 쉽다. 그러나, 유동한 Al막에 의해 접속홀의 내면 상의 Al 막의 막 두께는 증가하고 있기 때문에, 다음에 고온에서 리플로우를 행하더라도 Al 막의 응집은 일어나지 않고, 잔존한 보이드를 Al막으로 완전 충전할 수가 있다.
또한, 상술한 바와 같이 층간 절연막으로서 특히 탈개스가 많은 저유전율의 절연막을 이용한 경우, 절연막으로부터의 개스의 방출에 의해 Al 유동성이 저하하여 보이드가 잔존하기 쉽지만, 리플로우의 전반을 저온에서 행하면, 절연막으로부터 방출되는 개스량을 적게 할 수 있고, 이에 따라 방출 개스에 의한 Al표면의 오염이 억제되어 Al표면은 활성인 상태를 유지할 수가 있다. 따라서, 저온 리플로우 시에 보이드가 잔존하더라도, 후반의 고온 리플로우에서 보이드를 용이하게 충전할 수가 있게 된다.
따라서, 이러한 다스텝 가열로 리플로우를 행하면, 일정 온도의 가열로 리플로우를 행하는 경우보다도, 더욱 높은 애스펙트비의 접속홀을 Al 막으로 충전할 수 있다.
또한, 제1∼제4 실시 형태에서는, 라이너막으로서 Nb 막 혹은 NbN 막을 Si 기판 상에 직접 형성하고 있지만, Si 기판과의 반응을 억제하거나, 콘택트 저항을 낮추기 위해서는, 예를 들면 도 20a 또는 도 20b에 도시한 바와 같이, NbN막, TiN막, Nb막, Ti막, Nb 막과 그 위에 형성된 NbN 막으로 이루어지는 Nb/NbN막, 혹은 Ti 막과 그 위에 형성된 TiN 막으로 이루어지는 Ti/TiN 막을 기초로서 형성하면 좋다.
여기서, 라이너막으로서 장벽성이 있는 NbN 막을 이용한 경우에는, 기초로서 장벽 금속막을 형성할 필요는 기본적으로는 없다. 그러나, 질화량이 적은 NbN 막은 장벽이 저하하여, 반드시 장벽성을 확보할 수는 없다. 예를 들면 Al 막과의 반응속도를 조금 느리게 하기 위해서 Nb 막을 질화하는 경우, 반드시 장벽성을 확보할 수 있는 것은 아니다. 이러한 경우, NbN 막의 하층에 장벽이 있는 NbN 막이나 TiN 막을 형성하면 좋다.
기타, 본 발명의 요지를 일탈하지 않은 범위에서, 여러가지 변형하여 실시할 수 있다.
이상 설명한 바와 같이 본 발명에 의하면, 접속홀과 Al 배선층과의 계면에 Nb 라이너막, AlNb 합금막, 또는 Nb/AlNb 적층막 중 어느 1개를 형성함으로써, 접속홀 내에 배선 저항의 증가 및 EM 내성의 저하를 방지할 수 있는 Al 배선층을 갖는 반도체 장치를 실현할 수 있게 된다.
또한, 본 발명에 의하면, Nb 라이너막 또는 NbN 라이너막을 이용하는 것에 의해, 애스펙트비를 높게 하더라도, 관통 구멍의 내부를 배선 저항의 증가 및 EM 내성의 저하를 방지할 수 있는 Al 배선층으로 충전할 수 있는 반도체 장치의 제조 방법을 실현할 수 있게 된다.
또한, 본 발명에 의하면, 다마신 배선의 소정의 면에서의 Al<111> 배향성을 낮게 함으로써, 힐록의 발생 및 EM 내성의 열화를 방지할 수 있고, 신뢰성이 높은 다마신 배선을 갖는 반도체 장치를 실현할 수 있게 된다.
또한, 본 발명에 따르면, 제1 도전막으로 되는 Nb 스퍼터 입자의 운동 에너지를 증가시킴으로써, 배향성이 높은 제1 도전막을 형성하고, 이 배향성이 높은제1 도전막을 기초에 이용함으로써 배향성이 높은 제2 도전막을 형성할 수 있고, 이 배향성의 제2 도전막을 배선층으로서 이용하는 것에 따라, EM 내성이 높은 배선층을 갖는 반도체 장치를 실현되게 된다.

Claims (17)

  1. 반도체 기판의 한 주면 상에 형성되어, 오목부(凹部)를 갖는 층간 절연막과;
    상기 오목부의 내부에 형성된 Nb와 NbN 중 어느 한쪽으로 이루어지는 라이너막(liner film)과;
    상기 라이너막을 갖는 상기 오목부 내에 형성되는 Al을 주성분으로 하는 Al 배선층과;
    상기 라이너막과 상기 Al 배선층의 계면과, 층간 절연막과 상기 Al 배선층의 계면 중 어느 한쪽에 형성된 AlNb 합금
    을 포함하는 것을 특징으로 하는 반도체 장치.
  2. 제1항에 있어서, 상기 오목부는 접속홀과 상기 배선 트렌치로 이루어지는 것을 특징으로 하는 반도체 장치.
  3. 제1항에 있어서, 상기 라이너막 중의 Nb는 상기 반도체 기판의 한 주면에 대해 수직인 축방향으로 <110> 배향하고 있는 것을 특징으로 하는 반도체 장치.
  4. 제1항에 있어서, 상기 라이너막은 상기 반도체 기판의 한 주면에 대해 수직인 축방향으로 <110> 배향하고, 또한 X선 회절법으로 측정된 Nb<110> 피크의 록킹 커브가 5.21°이하인 것을 특징으로 하는 반도체 장치.
  5. 제1항에 있어서, 상기 라이너막 중의 Nb는 상기 반도체 기판의 한 주면에 대해 수직인 축방향으로 <110> 배향하고,
    상기 Al 배선층은 상기 반도체 기판의 한 주면에 대해 수직인 축방향으로 <111> 배향하고 있는 것을 특징으로 하는 반도체 장치.
  6. 제1항에 있어서, 상기 라이너막 중의 Nb는 상기 반도체 기판의 한 주면에 대해 수직인 축방향으로 <110> 배향하고, 또한 X선 회절법으로 측정한 Nb<110> 피크의 록킹 커브의 반값폭이 5.21°이하이고,
    상기 Al 배선층은 상기 반도체 기판의 한 주면에 대해 수직인 축방향으로 <111> 배향하고, 또한 X선 회절법으로 측정한 Al<110> 피크의 록킹 커브의 반값폭이 1.92。 이하인 것을 특징으로 하는 반도체 장치.
  7. 반도체 기판 상에 오목부를 갖는 층간 절연막을 형성하는 제1 공정과;
    상기 오목부 내부에, Nb와 NbN 중 어느 한쪽으로 이루어지는 라이너막을 형성하는 제2 공정과;
    상기 반도체 기판을 가열하면서 상기 오목부 내부를 포함하는 영역 상에 Al을 주성분으로 하는 Al도전막을 형성함과 동시에, 상기 Al도전막을 리플로우시켜 상기 오목부 내부를 상기 Al도전막으로 충전하는 제3 공정 - 상기 제2 공정과 상기 제3 공정은 진공 중에서 연속적으로 행함으로써 제3 공정에서 상기 라이너막과 상기 도전막과의 계면에 AlNb 합금을 형성함 - 과;
    상기 오목부의 외부의 상기 도전막을 제거하고, 상기 도전막으로 이루어지는 배선층을 형성하는 제4 공정
    을 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.
  8. 제7항에 있어서, 상기 제3 공정은 상기 Al 도전막의 유동량이 상기 라이너막이 점유하는 영역을 제외한 상기 오목부 내부의 용적 이상이 되고, 또한 상기 오목부 내측의 상기 라이너막과 상기 Al 도전막이 반응하여 상기 라이너막이 삭감되는 부분이 없는 서멀 버짓(thermal budget)이 되도록 상기 Al 도전막 막두께, 상기 라이너막 막두께, 상기 반도체 기판의 온도, 및 상기 반도체 기판의 가열 시간을 설정하여 행하는 것을 특징으로 하는 반도체 장치 제조 방법.
  9. 제7항에 있어서, 상기 제3 공정은 상기 오목부의 내부를 충전하지 않는 Al을 주성분으로 하는 제1 Al 도전막을 형성하는 공정과;
    상기 반도체 기판을 가열하면서 상기 오목부를 포함하는 영역 상에 Al을 주성분으로 하는 제2 Al 도전막을 형성하는 공정을 포함하고,
    상기 제1 Al 도전막과 상기 제2 Al 도전막을 리플로우시켜 상기 오목부 내부를 상기 제1 Al 도전막과 상기 제2 Al 도전막으로 충전하는 것을 특징으로 하는 반도체 장치 제조 방법.
  10. 제9항에 있어서, 상기 오목부 내부를 상기 제1 Al 도전막과 상기 제2 Al 도전막으로 충전하는 공정은,
    상기 제1 Al 도전막 및 상기 제2 Al 도전막의 유동량이 상기 라이너막이 점유하는 영역을 제외한 상기 오목부 내부의 용적 이상이 되고, 또한 상기 오목부의 내부의 상기 라이너막과 상기 제1 Al 도전막과 상기 제2 Al 도전막으로 이루어진 Al 도전막이 반응하여, 상기 라이너막이 삭감되는 부분이 없는 서멀 버짓이 되도록, 상기 제1 Al 도전막과 상기 제2 Al 도전막의 막두께, 상기 라이너막 두께, 상기 반도체 기판의 온도, 및 상기 반도체 기판의 가열 시간을 설정하여 행하는 것을 특징으로 하는 반도체 장치 제조 방법.
  11. 제7항에 있어서, 상기 제3 공정은 상기 반도체 기판을 저온 가열하여, 상기 라이너막과 Al의 반응을 제어하면서 상기 오목부의 내부에 공동(空洞)을 남기고 Al을 충전한 후, 상기 반도체 기판을 고온 가열하여 상기 오목부의 내부의 상기 공동이 없어지도록 Al을 충전하는 것을 특징으로 하는 반도체 장치 제조 방법.
  12. 제7항에 있어서, 상기 라이너막은 플라즈마 상태에서 Nb 입자를 이온화하고, 상기 반도체 기판에 인가하여 운동 에너지를 높인 Nb 입자를 상기 반도체 기판에 충돌시킴으로써 형성되는 것을 특징으로 하는 반도체 장치 제조 방법.
  13. 반도체 기판 상에 제1 도전막을 형성하는 공정과,
    상기 제1 도전막 상에 제2 도전막을 형성하는 공정
    을 포함하고,
    상기 제1 도전막은 Nb이고, 상기 제2 도전막은 Al이며,
    상기 제1 도전막을 형성하는 공정은 타겟 인가 전압을 -380V 이하로 설정한 롱-쓰로우 스퍼터법(long-throw sputtering method)이 이용되는 것을 특징으로 하는 반도체 장치 제조 방법.
  14. 제13항에 있어서, 상기 제1 도전막을 형성하는 공정은 플라즈마 상태에서 Nb 입자를 이온화하고, 상기 반도체 기판에 인가하여 운동 에너지를 높인 Nb입자를 상기 반도체 기판에 충돌시킴으로써 형성되는 것을 특징으로 하는 반도체 장치 제조 방법.
  15. 상기 반도체 기판의 한 주면 상에 형성되어, 배선 트렌치를 갖는 층간 절연막과;
    상기 배선 트렌치의 내부에 형성된 Nb를 포함하는 라이너막과;
    상기 라이너막을 갖는 상기 배선 트렌치 내벽에 형성된 Al을 주성분으로 하는 Al 배선 트렌치가 매립되어 이루어지는 다마신 배선
    을 포함하고,
    상기 라이너막과 상기 Al 배선층의 계면과, 상기 층간 절연막과 상기 Al 배선층의 계면 중 어느 한쪽에 형성된 AlNb 합금을 갖고,
    상기 다마신 배선은 X선 회절법에 의해, 상기 다마신 배선의 길이 방향과 수직 방향으로 X선을 입사한 경우에 얻어지는 상기 다마신 배선의 Al<111> 피크의 록킹 커브의 반값폭을 A로 하고,
    X선 회절법에 의해 상기 다마신 배선의 길이 방향과 평행 방향으로 X선을 입사한 경우에 얻어지는 상기 다마신 배선의 Al<111> 피크의 록킹 커브의 반값폭을 B로 했을 때, A > B 가 되는 관계를 만족하는 것을 특징으로 하는 반도체 장치.
  16. 제15항에 있어서, 상기 라이너막은 Nb인 것을 특징으로 하는 반도체 장치.
  17. 제13항에 있어서, 상기 제2 도전막을 형성하는 공정 후, 상기 반도체 기판을 가열하는 공정을 포함하는 것을 특징으로 하는 반도체 장치 제조 방법.
KR10-1998-0058688A 1997-12-26 1998-12-24 반도체장치및그제조방법 KR100359590B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP36680997 1997-12-26
JP97-366809 1997-12-26
JP26003698 1998-09-14
JP98-260036 1998-09-14

Publications (2)

Publication Number Publication Date
KR19990063479A KR19990063479A (ko) 1999-07-26
KR100359590B1 true KR100359590B1 (ko) 2003-01-24

Family

ID=26544413

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1998-0058688A KR100359590B1 (ko) 1997-12-26 1998-12-24 반도체장치및그제조방법

Country Status (3)

Country Link
US (2) US6307267B1 (ko)
KR (1) KR100359590B1 (ko)
TW (1) TW411529B (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3910752B2 (ja) * 1999-03-23 2007-04-25 株式会社東芝 半導体装置の製造方法
JP3449333B2 (ja) * 2000-03-27 2003-09-22 セイコーエプソン株式会社 半導体装置の製造方法
TW550642B (en) * 2001-06-12 2003-09-01 Toshiba Corp Semiconductor device with multi-layer interconnect and method fabricating the same
US6900119B2 (en) * 2001-06-28 2005-05-31 Micron Technology, Inc. Agglomeration control using early transition metal alloys
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
DE10241154A1 (de) 2002-09-05 2004-03-11 Infineon Technologies Ag Integrierte Schaltungsanordnung mit Zwischenmaterialien und zugehörige Komponenten
US20040087080A1 (en) * 2002-10-23 2004-05-06 Uwe Wellhausen Methods for producing thin layers, such as for use in integrated circuits
NO317845B1 (no) * 2002-11-29 2004-12-20 Thin Film Electronics Asa Mellomlagsforbindelser for lagdelte elektroniske innretninger
DE10261466B4 (de) * 2002-12-31 2007-01-04 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer leitenden Barrierenschicht mit verbesserten Haft- und Widerstandseigenschaften
US7088000B2 (en) * 2004-11-10 2006-08-08 International Business Machines Corporation Method and structure to wire electronic devices
US7279411B2 (en) * 2005-11-15 2007-10-09 International Business Machines Corporation Process for forming a redundant structure
DE102006025405B4 (de) * 2006-05-31 2018-03-29 Globalfoundries Inc. Verfahren zur Herstellung einer Metallisierungsschicht eines Halbleiterbauelements mit unterschiedlich dicken Metallleitungen
US7956463B2 (en) * 2009-09-16 2011-06-07 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
TWM449238U (zh) 2012-09-14 2013-03-21 Coselig Technology Corp 具有散熱結構的照明燈具
KR102038090B1 (ko) 2012-12-11 2019-10-29 삼성전자 주식회사 반도체 소자
JP7030626B2 (ja) * 2018-06-21 2022-03-07 三菱電機株式会社 アルミニウム膜の形成方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS592352A (ja) 1982-06-28 1984-01-07 Toshiba Corp 半導体装置の製造方法
US4829363A (en) * 1984-04-13 1989-05-09 Fairchild Camera And Instrument Corp. Structure for inhibiting dopant out-diffusion
EP0307272A3 (en) 1987-09-09 1989-07-12 STMicroelectronics, Inc. Aluminum alloy semiconductor interconnections having high purity titanium or niobium barrier layer
EP0430403B1 (en) 1989-11-30 1998-01-07 STMicroelectronics, Inc. Method for fabricating interlevel contacts
US5225372A (en) * 1990-12-24 1993-07-06 Motorola, Inc. Method of making a semiconductor device having an improved metallization structure
US5525199A (en) * 1991-11-13 1996-06-11 Optical Corporation Of America Low pressure reactive magnetron sputtering apparatus and method
JP3332456B2 (ja) * 1992-03-24 2002-10-07 株式会社東芝 半導体装置の製造方法及び半導体装置
US5739579A (en) * 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US6001461A (en) * 1992-08-27 1999-12-14 Kabushiki Kaisha Toshiba Electronic parts and manufacturing method thereof
DE4400200C2 (de) * 1993-01-05 1997-09-04 Toshiba Kawasaki Kk Halbleitervorrichtung mit verbesserter Verdrahtungsstruktur und Verfahren zu ihrer Herstellung
US5607718A (en) * 1993-03-26 1997-03-04 Kabushiki Kaisha Toshiba Polishing method and polishing apparatus
US5356836A (en) * 1993-08-19 1994-10-18 Industrial Technology Research Institute Aluminum plug process
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
SG55246A1 (en) 1995-12-29 1998-12-21 Ibm Aluminum alloy for the damascene process for on-chip wiring applications
US5994241A (en) 1996-07-31 1999-11-30 International Business Machines Corporation Method of forming conductive lines on a semiconductor wafer
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization

Also Published As

Publication number Publication date
TW411529B (en) 2000-11-11
US6307267B1 (en) 2001-10-23
US20020033539A1 (en) 2002-03-21
KR19990063479A (ko) 1999-07-26
US6720253B2 (en) 2004-04-13

Similar Documents

Publication Publication Date Title
KR100359590B1 (ko) 반도체장치및그제조방법
KR100326569B1 (ko) 반도체장치및그제조방법
JP3382031B2 (ja) 半導体装置の製造方法
JP3193875B2 (ja) 半導体ウェハにおけるコンタクト、ビア及びトレンチの低熱費金属の充填及び平坦化のための方法と装置
US5843843A (en) Method for forming a wiring layer a semiconductor device
KR100408622B1 (ko) 반도체 장치 및 그 제조 방법
US6306732B1 (en) Method and apparatus for simultaneously improving the electromigration reliability and resistance of damascene vias using a controlled diffusivity barrier
JPH077077A (ja) 半導体装置及びその製造方法
US6306761B1 (en) Method of manufacturing semiconductor device
JPH10116831A (ja) 銅のインターフェース導電性を向上させる方法およびその方法を用いて形成された銅導電体インターフェース
JPH0964034A (ja) 半導体装置およびその製造方法
JP4149546B2 (ja) 半導体装置の製造方法
US5750439A (en) Method of making aluminum alloy wiring with less silicon nodule
KR950010042B1 (ko) 반도체 장치의 금속 배선층 형성방법
JP3607515B2 (ja) 半導体装置およびその製造方法
US20030214039A1 (en) Method for fabricating semiconductor device having tertiary diffusion barrier layer for copper line
JP2005033218A (ja) 半導体装置の製造方法
US6979642B1 (en) Method of self-annealing conductive lines that separates grain size effects from alloy mobility
JP3635483B2 (ja) 集積回路装置
JP2928057B2 (ja) 半導体装置の製造方法
JP3263611B2 (ja) 銅薄膜製造方法、銅配線製造方法
JP2002043418A (ja) 半導体装置およびその製造方法
JP2003197744A (ja) 半導体装置の製造方法
JP2000340565A (ja) 半導体集積回路装置およびその製造方法
JPH11154676A (ja) 金属配線およびその形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121002

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20131001

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140923

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150918

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20160922

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee