KR100321832B1 - 집적회로및그의제조방법 - Google Patents

집적회로및그의제조방법 Download PDF

Info

Publication number
KR100321832B1
KR100321832B1 KR1019970029753A KR19970029753A KR100321832B1 KR 100321832 B1 KR100321832 B1 KR 100321832B1 KR 1019970029753 A KR1019970029753 A KR 1019970029753A KR 19970029753 A KR19970029753 A KR 19970029753A KR 100321832 B1 KR100321832 B1 KR 100321832B1
Authority
KR
South Korea
Prior art keywords
poly
integrated circuit
dielectric
ether
highly branched
Prior art date
Application number
KR1019970029753A
Other languages
English (en)
Other versions
KR19980032198A (ko
Inventor
크레이그 죤 호커
제임스 럽톤 헤드릭
로버트 데니스 밀러
Original Assignee
포만 제프리 엘
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포만 제프리 엘, 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 포만 제프리 엘
Publication of KR19980032198A publication Critical patent/KR19980032198A/ko
Application granted granted Critical
Publication of KR100321832B1 publication Critical patent/KR100321832B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5222Capacitive arrangements or effects of, or between wiring layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/095Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00 with a principal constituent of the material being a combination of two or more materials provided in the groups H01L2924/013 - H01L2924/0715
    • H01L2924/097Glass-ceramics, e.g. devitrified glass
    • H01L2924/09701Low temperature co-fired ceramic [LTCC]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 ① 기판, ② 상기 기판상에 위치한 금속 회로 선 및 ③ 상기 회로 선에 인접하여 위치되는 유전체를 포함하는 집적회로 장치에 관한 것이다. 상기 유전체는 고분지된 중합합체와 유기 폴리실리카의 반응 생성물을 포함한다.

Description

집적회로 및 그의 제조방법{INTEGRATED CIRCUIT AND PROCESS FOR ITS MANUFACTURE}
본 발명은 개선된 유전체를 포함하는 집적회로 및 이 집적회로를 제조하는 방법에 관한 것이다.
마이크로 전자 산업에서 멀티레벨(multilevel) 집적회로 장치(예컨대, 기억 및 논리 칩)에 회로 밀도를 증가시켜 성능을 증가시키고 비용을 절감하고자 하는 요구가 계속 있어 왔다. 이러한 목적을 이루기 위하여, 칩의 최소 배선폭(예컨대, 회로의 선 폭)을 감소시키고, 또한 구조물 사이에 끼인 유전체의 유전 상수를 감소시켜 누화 및 용량 결합의 증가 없이 회로 선의 간격을 더 가깝게 하는 것이 요구된다. 또한, 입력/출력 회로를 함유하는 집적회로 장치의 선의 후방말단(back end of line, BEOL) 부분에 사용되는 것과 같은 유전체의 유전 상수를 감소시켜 장치의 필수 구동 전류 및 전력 소비량을 감소시키는 것이 요구된다. 종래의 유전체는 약 4.0의 유전 상수를 갖는 이산화규소이다. 이 물질은 반도체 제조와 관련된 처리 공정 및 열 순환을 견디기 위해 필수 기계적 특성 및 열적 특성을 갖는다. 그러나, 미래의 집적회로 장치의 유전체는 현재의 이산화규소가 나타내는 것보다 낮은 유전 상수(예컨대, 3.0 미만)를 가져야 한다.
따라서, 본 발명의 목적은 개선된 유전체를 포함하는 개선된 집적회로 장치를 제공하는 것이다.
다른 목적 및 이점은 하기에 개시된 내용으로부터 분명히 알 수 있을 것이다.
도 1은 본 발명의 집적회로 장치의 일부분의 단면도이다.
도 2 내지 도 5는 본 발명의 집적회로 장치를 제조하는 방법을 도시한다.
도 6 내지 도 8은 본 발명의 집적회로 장치를 제조하는 또 다른 방법을 도시한다.
본 발명은 ① 기판, ② 상기 기판상에 위치한 상호 접속 금속 회로 선 및 ③ 상기 회로 선에 인접하여(회로 선 위 및/또는 회로 선들 사이에) 위치되는 유전체를 포함하는 집적회로 장치에 관한 것이다. 상기 유전체는 고분지된 중합체(hyperbranched polymer)와 유기 폴리실리카의 반응 생성물을 포함한다. 바람직하게는, 유전체는 1000Å 미만의 상 영역(phase domain)을 갖는다.
본 발명은 또한 본 발명의 집적회로 장치를 형성하는 방법에 관한 것이다.
본 발명의 더 완전한 개시내용은 하기의 상세한 설명 및 첨부된 도면에서 제공된다.
본 발명의 집적회로 장치의 태양을 도 1에 도시한다. 이 장치는 일반적으로 기판(2), 금속 회로 선(4), 유전체(6)를 포함한다. 기판(2)은 그 안에 형성된 수직 금속 스터드(stud)(8)를 갖는다. 상호 접속된 회로 선은 장치에 전기 신호를 분배시키고, 장치에 전력을 유입시키고, 장치로부터 신호를 출력시키는 작용을 한다. 적합한 집적회로 장치는 일반적으로 수직 금속 스터드에 의해 상호 접속된 다층의 회로 선을 포함한다.
본 발명의 장치에 적합한 기판으로는 규소, 이산화규소, 유리, 질화규소, 세라믹, 알루미늄, 구리, 비소화갈륨이 있다. 다른 적합한 기판은 당분야의 숙련자에게 공지되어 있다. 다층의 집적회로 장치에서, 절연되고 평탄화된 회로 선의 하부 층도 또한 기판으로서 작용할 수 있다.
적합한 회로는 일반적으로 구리, 알루미늄, 텅스텐, 금, 은 또는 이들의 합금과 같은 금속의 전도성 물질을 포함한다. 임의로는, 회로 선은 니켈, 탄탈륨 또는 크롬 층과 같은 금속 라이너(liner) 또는 차단층 또는 접착층과 같은 다른 층(예컨대, SiN, TiN)으로 피복할 수도 있다.
본 발명의 중요 특징은 회로 선 위에 위치하고/위치하거나 회로 선들 사이에 위치하고 기판상에 위치하는 유전체이다. 멀티레벨 집적회로 장치에서, 유전체는종종 평탄화되어 회로 선의 다음 층의 리소그래피적(lithographical) 성형을 위한 기판으로서 작용한다. 유전체는 유기 고분지된 중합체와 유기 폴리실리카의 반응 생성물을 포함한다.
유기 고분지된 중합체는 고도로 분지된, 3차원의 소구형 거대분자이며, 거대분자의 외표면을 따라 상당수의 반응성 기를 갖는 한편 쇄 말단에 반응성 기를 갖는다. 고분지된 중합체는 다작용성 단량체, (A)nRB-이 때, A는 B와 반응성인 커플링 기이고, R은 비반응성 유기 스페이서(spacer)이고, n은 1보다 크고, 바람직하게는 2 내지 5, 더 바람직하게는 2 내지 4이다-의 중축합에 의해 형성된다.
적합하게는, 고분지된 중합체는 분자량 및 분지의 면에서 다분산성이다. 고분지된 중합체는 더 큰 분자량에서도 낮은 점도, 높은 화학 반응성 및 향상된 용해성을 갖는다. 본 발명에 사용하기에 바람직한 고분지된 중합체는 F, Cl, Br, CN, -NH2, -CO2H, -CO2R1, -C(O)R2, OH, -NHC(O)R3-이 때, R1, R2, R3은 각각 독립적으로 알킬(C1-6알킬) 또는 아릴(예컨대, 페닐 또는 벤질)이고, R은 알킬렌, 아릴(예컨대, 페닐) 또는 헤테로환 중에서 선택된 스페이서이다- 중에서 독립적으로 선택된 A와 B 기를 갖는다. A 와 B 반응기는 서로에 대해서만 반응하도록 선택된다. 바람직한 부류의 고분지된 중합체는 고분지된 폴리(아릴 에테르 페닐퀴녹살린), 폴리(에테르퀴놀린), 폴리(아릴 에스테르), 폴리(에테르 케톤), 폴리(에테르 설폰) 폴리페닐렌, 폴리페닐렌옥사이드, 폴리카보네이트, 폴리(에테르이미드)이다. 본 발명에 사용하기에 적합한 고분지된 중합체로는 본원에 참조로 그 개시내용이 인용된 문헌["Comprehensive Polymer Science", 제 2 증보판, Aggarwal, 페이지 71-132 (1996)]에 개시된 바와 같은 것이 있으며 당분야의 숙련자에게 공지되어 있다.
유기 폴리실리카는 규소, 탄소, 산소, 수소 원자로 이루어지는 중합체성 화합물이다. 적합한 유기 폴리실리카는 ① 부분 축합된 알콕시실란(예컨대, 조절된 가수분해에 의해 부분 축합된 약 500 내지 20,000의 Mn을 갖는 테트라에톡시실란), ② RSiO3와 R2SiO2-이 때, R은 유기 치환체이다-의 조성을 갖는 유기 개질된 실리케이트, ③ SiOR4의 조성을 갖는 부분 축합된 오르토실리케이트, ④ 실세스퀴옥산을 포함한다. 실세스퀴옥산은 RSiO1.5형-이 때, R은 유기 치환체이다-의 중합체성 실리케이트 물질이다.
본 발명에 사용하기에 적합한 유기 폴리실리카는 당분야의 숙련자에게 공지되어 있다. 바람직하게는, 유기 폴리실리카는 실세스퀴옥산이다. 본 발명에 적합한 실세스퀴옥산은 시중에서 구할 수 있는 알킬(메틸) 페닐 실세스퀴옥산(예컨대, 오하이오주 페리스버그 소재의 테크니글래스(Techniglass)의 GR950)이다. 다른 적합한 실세스퀴옥산으로는 본원에 참조로 그 개시내용이 인용된 미국 특허 제 5,384,376 호 및 문헌[Chem. Rev. 95, 1409-1430 (1995)]에 개시된 바와 같은 것이 있으며 당분야의 숙련자에게 공지되어 있다.
유전성 조성물은 2단계 공정으로 형성한다. 제 1 단계는 고분지된 중합체와 유기 폴리실리카를 적합한 용매(고비점 용매, 예컨대 N-메틸-2-피롤리돈 NMP)에 실온에서 용해시키는 것을 포함한다. 그 다음, 용액을 승온으로 직접 가열하거나 또는 단계적 방식으로(예컨대, 200℃에서 2시간동안, 그 다음 400℃로 차차 승온시키고(5℃/분), 2시간동안 유지시키는 방식) 가열하여, 유기 폴리실리카의 축합 및 고분지된 중합체의 반응성 기와의 상호 축합을 일으킨다.
본 발명의 유전성 조성물은 25℃에서 유전 상수가 3.0 미만, 바람직하게는 2.9 미만이다. 조성물은 2000Å 마이크론 미만, 바람직하게는 1000Å 마이크론 미만의 상 영역을 가지며, 그 결과 기계적 인성, 내분열성 및 등방성 광학성이 향상되고 유전성이 개선된다. 또한, 유전성 조성물은 분열에 저항하는 기계적 특성을 가지며, 화학/기계적으로 평탄화되어 멀티레벨 집적회로 장치에 추가의 회로 레벨을 리소그래피적으로 용이하게 형성할 수 있다. 유전성 조성물은 두꺼운 필름과 함께 높은 주위 습도에서도 폴리실리카에 비하여 증가된 분해 전압, 향상된 인성 및 증가된 내분열성을 갖는다. 유전성 조성물은 광학적으로 투명하고 그 자체와 다른 기판에 잘 침착한다. 유전성 조성물은 가열하는 동안 최소 수축을 겪는다. 본 발명의 조성물은 유리, 콘택트 렌즈, 일광반사기와 같은 광학 제품 및 외부 공간에 사용되는 다른 제품을 위한 보호성 피복제로서 사용할 수 있다.
본 발명은 또한 집적회로 장치를 제조하기 위한 방법에 관한 것이다. 도 2를 참조로 하면, 한 방법 태양의 제 1 단계는 기판(2)상에 유기 폴리실리카와 고분지된 중합체를 포함하는 본 발명의 유전성 조성물의 층(10)을 위치시키는 것을 포함한다. 기판(2)은 수직 금속 스터드(8)와 함께 도시되어 있다. 조성물은 디메틸 프로필렌 우레아(DMPU), NMP 등과 같은 적합한 용매에 용해시키고, 스핀 피복(spin coating) 또는 분사 피복 또는 닥터 블레이딩(doctor blading)과 같은 당분야에 공지된 방법에 의해 기판에 적용한다. 방법의 제 2 단계는 조성물을 높은 온도로 가열하여 고분지된 중합체상에서 폴리실리카 실릴 반응성 기를 반응성 기(예컨대, 하이드록시)와 상호 축합하는 것을 포함한다. 바람직하게는, 조성물은 아민 또는 브론스테드(Bronsted) 염기와 같은 염기의 존재하에 가열한다. 염기는 폴리실리카의 쇄 연장 및 더 낮은 초기 경화 온도를 가능하게 하는 상호 축합을 둘다 촉진시킨다. 적합하게는, 염기는 유기 아민이다. 아민은 바람직하게는 높은 비점을 가지고, 반응이 완료하면 가열에 의해 제거할 수 있다. 적합한 염기는 N-메틸디에탄올아민이다. 다른 적합한 염기로는 본원에 참조로 그 개시내용이 인용된 미국 특허 제 5,206,117 호에 개시된 것과 같은 것이 있으며 당분야의 숙련자에게 널리 공지되어 있다.
도 3을 참조로 하면, 방법의 제 3 단계는 유전성 조성물 층(10)을 리소그래피적으로 패턴화하여 조성물 층내에 트렌치(trench, 12)(함몰부)를 형성한다. 리소그래피적으로 패턴화하는 것은 일반적으로 ① 쉬플리(Shipley) 또는 훽스트 셀라니즈(Hoechst Celanese)가 시판중인 것(AZ 포토레지스트)과 같은 양화 또는 음화 포토레지스트로 유전성 조성물 층(10)의 피복, ② 전자기와 같은 방사선, 예컨대 가시 자외선 또는 원자외선에 포토레지스트를 상 방향으로 노출(마스크를 통하여), ③ 적합한 염기성 현상액으로 레지스트에 상을 현상, ④ 반응성 이온 식각(reactive ion etching, RIE)과 같은 적합한 전사 기법으로 상을 유전성 조성물 층(10)을 통하여 기판(2)으로 전사하는 것을 포함한다. 적합한 리소그래피 패턴화 기법으로는 본원에 참조로 인용된 톰슨(Thompson) 등의 문헌[Introduction toMicrolithography, 1994]에 개시된 바와 같은 것이 있으며 당분야의 숙련자에게 널리 공지되어 있다.
도 4를 참조로 하면, 본 발명의 집적회로를 형성하는 방법의 제 4 단계에서, 금속성 필름(14)을 패턴화된 유전층(10)상에 침착시킨다. 바람직한 금속 물질로는 구리, 텅스텐, 알루미늄이 있다. 금속은 적합하게는 화학증착(chemical vapor deposition, CVD), 플라스마 강화 CVD, 전착 및 일렉트로리스 침착(electoless deposition), 스퍼터링(sputtering) 등과 같은 당분야에 공지된 기법에 의해 패턴화된 유전층에 침착된다.
도 5를 참조로 하면, 방법의 최종 단계는 과량의 금속 물질을 제거하여(예컨대, 금속성 필름(14)을 평탄화하여) 필름(14)이 일반적으로 패턴화된 유전층(10)과 수평을 이루도록 하는 것을 포함한다. 평탄화는 화학/기계적 연마 또는 선택적인 습식 또는 건식 식각을 사용하여 수행할 수 있다. 적합한 화학/기계적 연마 기법은 당분야의 숙련자에게 공지되어 있다.
도 6 내지 8을 참조로 하여, 집적회로 장치를 제조하기 위한 본 발명의 방법의 또 다른 태양을 볼 수 있다. 이러한 태양에서 방법의 제 1 단계는 기판(18)상에 금속성 필름(16)을 침착시키는 것을 포함한다. 기판(18)에 또한 수직 금속 스터드(20)가 제공된다. 도 7을 참조로 하여, 방법의 제 2 단계에서, 금속성 필름은 마스크를 통하여 리소그래피적으로 패턴화하여 트렌치(22)를 형성한다. 도 8을 참조로 하여, 방법의 제 3 단계에서, 본 발명의 유전성 조성물 층(24)은 패턴화된 금속성 필름(16)상에 침착된다. 방법의 최종 단계에서, 조성물을 가열하여 폴리실리카를 고분지된 중합체와 축합시킨다. 임의로는, 다층 집적회로에서 후속 공정을 위하여 유전층을 평탄화시킬 수도 있다.
다음의 실시예들은 본 발명의 방법을 상세하게 설명한 것이다. 상세한 제조방법은 전술한 더 일반적으로 기술된 방법의 범주내에 포함되며, 예시의 목적으로 제공된다. 실시예는 예시의 목적으로만 제공되며, 본 발명의 범주를 제한하려는 것은 아니다.
실시예 1
2,3-비스(4'-하이드록시페닐)-5-플루오로퀴녹살린(1)
교반막대, 환류 응축기 및 질소 유입구가 달린 환저 플라스크에 4,4'-비스하이드록시 벤질(24.80g, 0.092mol), 4-플루오로-1,2-페닐렌디아민(11.60g, 0.092mol) 및 클로로포름 300㎖를 넣었다. 반응 혼합물을 50℃로 가열하고, 트리플루오로아세트 산(0.2㎖)을 첨가하였다. 생성된 암색의 용액을 50℃에서 24시간동안 유지시켰다. 조생성물을 과량의 클로로포름(300㎖)으로 희석하고, 묽은 수성 HCl로 3회 세정하여 과량의 아민을 제거하고, 건조시키고(황산마그네슘), 농축하였다. 조생성물을 에틸 아세테이트/헥산으로부터 재결정화하여 황색의 분말을 수득하였다. 이어 용액을 냉각시키고 여과하였다. 여액에 물을 교반하면서 적가하고, 침전물을 흡입 여과에 의해 수획하고, 물로 잘 세척하고, 공기 건조시켰다. 순수한 생성물(22g, 93%)은 이소프로필 알콜로부터 재결정화하여 수득하였다(융점 121 내지 122℃).
실시예 2
4,4'-비스(4-메톡시페녹시)벤질
A. 기계적 교반기, 질소 유입구, 온도계, 및 응축기와 질소 유입기가 장착된 딘-스탁 트랩(Dean-Stark trap)이 달린 200㎖들이의 환저 플라스크에 4,4'-디플루오로벤질(50mmol, 12.3g), 4-메톡시페놀(110mmol, 13.6g) 및 무수 K2CO3(70mmol, 9.7g)을 첨가하였다. 그 다음, N,N-디메틸아세트아미드(DMAC) 100㎖ 및 공비증류제로서 톨루엔 30㎖를 첨가하였다. 플라스크의 내용물을 2 내지 4시간동안 140 내지 150℃에서 유지시켜 시스템으로부터 물을 완전히 제거하였다. 반응 온도를 160℃로 더 승온시키고, 추가의 12 내지 14시간동안 더 진행시켰다. 이어 용액을 냉각시키고 여과하였다. 여액에 물을 교반하면서 적가하고, 침전물을 흡입 여과에 의해 수획하고, 물로 잘 세척하고, 공기 건조시켰다. 순수한 생성물(22g, 93%)을 이소프로필 알콜로부터 재결정화하여 수득하였다(융점 121 내지 122℃).
B. 4,4'-비스(4-하이드록시페녹시)벤질
교반막대를 포함하고 있는 250㎖들이의 환저 플라스크에 4,4'-비스(4-메톡시페녹시)벤질(7.81g, 20mmol) 및 피리딘 염화물(13.87g, 120mmol)을 넣었다. 혼합물을 질소하에 220℃의 오일 욕중에서 45분동안 가열한 후 탈보호를 완료하였다. 혼합물을 80℃로 냉각시키고, 물을 적가하여 250㎖의 용적으로 희석하였다. 조생성물을 흡입 여과에 의해 단리하고, 물로 세척한 다음, 아세트산으로부터 재결정화하여 생성물(6.91g, 81%)을 수득하였다(융점 220 내지 221℃).
C. 2,3-비스(4-하이드록시페녹시페닐)-5-플루오로퀴녹살린(2)
교반막대, 환류 응축기 및 질소 유입기가 달린 250㎖들이의 환저 플라스크에 4,4'-비스(4-하이드록시페녹시)벤질(4.62g, 10mmol), 4-플루오로-1,2-페닐렌디아민 (1.36g, 10mmol) 및 아세트산(75㎖)을 넣었다. 생성된 슬러리를 2시간동안 비등시킨 다음 냉각시키고, 흡입 여과에 의해 고체를 단리하고, 아세트산으로 세척하고, 공기 건조시켰다. 생성물을 에틸 아세테이트로부터 재결정화하여 담황색의 분말을 수득하였다(수율 80%, 융점 263 내지 264.5℃).
실시예 3
고분지된 폴리퀴녹살린 중합체
탄산칼륨을 함유하는 N-메틸 및 N-사이클로헥실 피롤리디논 NMP/CHP(50/50) 혼합물중에서 실시예 1 및 실시예 2의 퀴녹살린 단량체의 자기-중합을 수행하였다. 탄산칼륨을 사용하여 비스페놀을 더 반응성인 비스페녹사이드로 전환시키고, 탄산칼륨이 비교적 약한 비친핵성 염기이기 때문에 2,3-비스(4-플루오로페닐)퀴녹살린과 반응하는 가수분해성 부반응은 관찰되지 않았다. 중합의 초기 단계동안 톨루엔을 사용하여 톨루엔과 함께 공비증류제로서 비스페녹사이드 형성에 의해 생성된 물을 제거하였다. 이 용매 혼합물은 150 내지 165℃의 환류 온도를 제공하였다. 무수 시스템을 유지시키려는 노력으로, 톨루엔을 딘-스탁 트랩에 의해 주기적으로 제거하고, 탈산소화한 무수 톨루엔으로 대체하였다. 비스페녹사이드 형성 및 탈수가 완료되면, 중합 혼합물을 180 내지 220℃로 가열하여 치환반응을 수행하였다. 각 경우에서, 고분자량의 중합체는 점도가 극적으로 증가함으로부터 판단할 수 있듯이 48시간내에 얻어졌다. 중합체를 10배 과량의 메탄올중에서 침전시켜 단리하고, 물중에서 비등시켜 잔여 염을 제거하였다.
생성된 분지된 폴리(아릴 에테르 페닐퀴녹살린)의 Tg는 이들의 선형 유사체(190℃)와 동등하였다. 폴리(아릴 에테르 페닐퀴녹살린)은 NMP에 가용성이었다. 생성된 중합체는 필름을 형성할 수 있었다. NMP/CHP중에서의 실시예 2의 단량체의 중합은 190℃에서 목적하는 고체 조성물에 제한된 용해도를 갖는 것으로 보였다. 그러나, DMPU중에서의 실시예 2의 단량체의 중합에 의해 더 적합한 중합체를 수득하였다.
실시예 4
유전성 조성물
실시예 3의 고분지된 폴리(아릴 에테르 페닐퀴녹살린)(0.15g) 및 실세스퀴옥산 GR950F(0.85g)를 DMPU 1.4㎖에 용해시켰다. 샘플을 주조성형하고, 200℃(2시간)로 경화시킨 다음 400℃(2시간)로 차차 승온시켜(5℃/분) 축합을 수행하였다. 투명한, 결함이 없는 필름을 수득하였다(2 내지 3마이크론).
지금까지 본 발명을 특정 태양에 대하여 기술하였지만, 본 발명의 요지 및 범주를 벗어나지 않는 한 다양한 태양, 변화 및 변형을 행할 수 있기 때문에 본 발명의 특정 태양중의 상세한 내용을 제한사항으로서 생각하여서는 안되며, 등가의 태양은 본 발명의 범주에 포함되는 것으로 이해되어야 한다.
본 발명에 의하여 개선된 유전체를 포함하는 개선된 집적회로 장치를 제공할수 있다.

Claims (7)

  1. ① 기판, ② 상기 기판상에 위치된 금속 회로 선 및 ③ 상기 회로 선에 인접하여 위치되는 유전성 조성물을 포함하는 집적회로 장치에 있어서,
    상기 유전성 조성물이 고분지된 중합체(hyperbranched polymer)와 유기 폴리실리카의 반응 생성물을 포함하되, 상기 고분지된 중합체가 폴리(에테르 퀴놀린), 폴리(아릴 에테르 페닐퀴녹살린), 폴리(아릴 에스테르) 및 폴리(에테르 케톤)으로 구성된 군중에서 선택되고; 상기 유기 폴리실리카가 실세스퀴옥산인 집적회로 장치.
  2. 제 1 항에 있어서,
    실세스퀴옥산이 페닐/C1-6알킬 실세스퀴옥산인 집적회로 장치.
  3. 제 1 항에 있어서,
    유전성 조성물의 유전 상수가 3.0 미만인 집적회로 장치.
  4. 제 1 항에 있어서,
    유전성 조성물이 1000Å 미만의 상 영역(phase domain)을 갖는 집적회로 장치.
  5. ① 고분지된 중합체와 유기 폴리실리카 반응물을 포함하되, 상기 고분지된 중합체가 폴리(에테르 퀴놀린), 폴리(아릴 에테르 페닐퀴녹살린), 폴리(아릴 에스테르) 및 폴리(에테르 케톤)으로 구성된 군중에서 선택되고; 상기 유기 폴리실리카가 실세스퀴옥살인 유전성 조성물의 층을 기판상에 위치시키고,
    ② 조성물을 가열하여 반응물을 반응시키고,
    ③ 유전층을 리소그래피적으로(lithographically) 패턴화하고,
    ④ 금속성 필름을 패턴화된 유전층상에 침착시키고,
    ⑤ 필름을 평탄화하여 집적회로를 형성하는 것을 포함하는 집적회로의 제조 방법.
  6. ① 기판상에 금속성 필름을 침착시키고,
    ② 금속성 필름을 리소그래피적으로 패턴화하고,
    ③ 패턴화된 금속성 필름상에 고분지된 중합체와 유기 폴리실리카 반응물을 포함하되, 상기 고분지된 중합체가 폴리(에테르 퀴놀린), 폴리(아릴 에테르 페닐퀴녹살린), 폴리(아릴 에스테르) 및 폴리(에테르 케톤)으로 구성된 군중에서 선택되고; 상기 유기 폴리실리카가 실세스퀴옥산인 유전성 조성물의 층을 침착시키고,
    ④ 조성물을 가열하여 반응물을 반응시키는 것을 포함하는 집적회로의 제조 방법.
  7. 제 5 항 또는 제 6 항에 있어서,
    실세스퀴옥산이 페닐/C1-6알킬 실세스퀴옥산인 집적회로의 제조 방법.
KR1019970029753A 1996-10-28 1997-06-30 집적회로및그의제조방법 KR100321832B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/739,133 US5767014A (en) 1996-10-28 1996-10-28 Integrated circuit and process for its manufacture
US08/739,133 1996-10-28
US8/739,133 1996-10-28

Publications (2)

Publication Number Publication Date
KR19980032198A KR19980032198A (ko) 1998-07-25
KR100321832B1 true KR100321832B1 (ko) 2002-03-08

Family

ID=24970971

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970029753A KR100321832B1 (ko) 1996-10-28 1997-06-30 집적회로및그의제조방법

Country Status (7)

Country Link
US (1) US5767014A (ko)
JP (1) JP3375048B2 (ko)
KR (1) KR100321832B1 (ko)
CN (1) CN1142593C (ko)
MY (1) MY115696A (ko)
SG (1) SG73471A1 (ko)
TW (1) TW345735B (ko)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5962113A (en) * 1996-10-28 1999-10-05 International Business Machines Corporation Integrated circuit device and process for its manufacture
US5953627A (en) * 1997-11-06 1999-09-14 International Business Machines Corporation Process for manufacture of integrated circuit device
US6177360B1 (en) * 1997-11-06 2001-01-23 International Business Machines Corporation Process for manufacture of integrated circuit device
US6110649A (en) * 1997-11-19 2000-08-29 International Business Machines Corporation Process for manufacture of integrated circuit device
US6166439A (en) * 1997-12-30 2000-12-26 Advanced Micro Devices, Inc. Low dielectric constant material and method of application to isolate conductive lines
EP1092234A1 (en) * 1998-06-05 2001-04-18 Georgia Tech Research Porous insulating compounds and method for making same
US6333141B1 (en) 1998-07-08 2001-12-25 International Business Machines Corporation Process for manufacture of integrated circuit device using inorganic/organic matrix comprising polymers of three dimensional architecture
US6143643A (en) * 1998-07-08 2000-11-07 International Business Machines Corporation Process for manufacture of integrated circuit device using organosilicate insulative matrices
US6093636A (en) * 1998-07-08 2000-07-25 International Business Machines Corporation Process for manufacture of integrated circuit device using a matrix comprising porous high temperature thermosets
EP1179026A4 (en) * 1998-11-05 2003-04-02 Univ Illinois BRANCHED AND HYPERRAMIFIED POLYETHERIMIDES FROM STABLE MONOMERS A1BN, AB, AA AND BB AND AM TERMINAL HAIRDRESSING AGENTS
CN1325560C (zh) * 1998-11-24 2007-07-11 陶氏环球技术公司 含可交联基质前体和致孔剂的组合物及由此组合物制成的多孔性基质
US6399666B1 (en) 1999-01-27 2002-06-04 International Business Machines Corporation Insulative matrix material
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6342454B1 (en) * 1999-11-16 2002-01-29 International Business Machines Corporation Electronic devices with dielectric compositions and method for their manufacture
US6107357A (en) * 1999-11-16 2000-08-22 International Business Machines Corporatrion Dielectric compositions and method for their manufacture
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6495479B1 (en) 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
TWI226103B (en) * 2000-08-31 2005-01-01 Georgia Tech Res Inst Fabrication of semiconductor devices with air gaps for ultra low capacitance interconnections and methods of making same
AU2001288954A1 (en) 2000-09-13 2002-03-26 Shipley Company, L.L.C. Electronic device manufacture
TW538319B (en) * 2000-10-10 2003-06-21 Shipley Co Llc Antireflective composition, method for forming antireflective coating layer, and method for manufacturing electronic device
TW588072B (en) 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
US6685983B2 (en) 2001-03-14 2004-02-03 International Business Machines Corporation Defect-free dielectric coatings and preparation thereof using polymeric nitrogenous porogens
US6670285B2 (en) 2001-03-14 2003-12-30 International Business Machines Corporation Nitrogen-containing polymers as porogens in the preparation of highly porous, low dielectric constant materials
US7255810B2 (en) 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
US8901268B2 (en) 2004-08-03 2014-12-02 Ahila Krishnamoorthy Compositions, layers and films for optoelectronic devices, methods of production and uses thereof
US8557877B2 (en) 2009-06-10 2013-10-15 Honeywell International Inc. Anti-reflective coatings for optically transparent substrates
US8864898B2 (en) 2011-05-31 2014-10-21 Honeywell International Inc. Coating formulations for optical elements
JP6803842B2 (ja) 2015-04-13 2020-12-23 ハネウェル・インターナショナル・インコーポレーテッドHoneywell International Inc. オプトエレクトロニクス用途のためのポリシロキサン製剤及びコーティング

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1356919A (en) * 1970-04-17 1974-06-19 Ici Ltd Glass reinforced polymer composites
US4001870A (en) * 1972-08-18 1977-01-04 Hitachi, Ltd. Isolating protective film for semiconductor devices and method for making the same
US4141877A (en) * 1977-09-06 1979-02-27 Corning Glass Works Processing organic polymers with hydrated glasses
US4535099A (en) * 1984-04-03 1985-08-13 Imi-Tech Corporation Polyimide foam from mixture of silicon containing diamine and different aromatic diamine
US4801507A (en) * 1987-07-02 1989-01-31 Akzo American Inc. Arylsiloxane/silicate compositions useful as interlayer dielectric films
US5043369A (en) * 1988-10-17 1991-08-27 Corning Incorporated Glass/glass-ceramic-plastic alloy articles
US5036145A (en) * 1989-07-10 1991-07-30 Armstrong World Industries, Inc. Alkoxysilane and alkoxysilane terminated polyamic or polyimide lacquer composition
US5206337A (en) * 1990-05-10 1993-04-27 Sumitomo Bakelite Company Limited Solvent-soluble polyimidesiloxane oligomer and process for producing the same
US5288842A (en) * 1991-01-30 1994-02-22 International Business Machines Corporation Morphological composite materials formed from different precursors
US5252654A (en) * 1991-07-03 1993-10-12 E. I. Du Pont De Nemours And Company Organic-inorganic polymeric composites
US5412016A (en) * 1992-09-28 1995-05-02 E. I. Du Pont De Nemours And Company Process for making polymeric inorganic-organic compositions
US5384376A (en) * 1992-12-23 1995-01-24 Eastman Kodak Company Organic/inorganic hybrid materials
JP2751820B2 (ja) * 1994-02-28 1998-05-18 日本電気株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
JP3375048B2 (ja) 2003-02-10
SG73471A1 (en) 2000-06-20
JPH10135332A (ja) 1998-05-22
MY115696A (en) 2003-08-30
KR19980032198A (ko) 1998-07-25
CN1142593C (zh) 2004-03-17
US5767014A (en) 1998-06-16
CN1189695A (zh) 1998-08-05
TW345735B (en) 1998-11-21

Similar Documents

Publication Publication Date Title
KR100321832B1 (ko) 집적회로및그의제조방법
US6890703B2 (en) Preparation of crosslinked particles from polymers having activatible crosslinking groups
US5895263A (en) Process for manufacture of integrated circuit device
US6589862B2 (en) Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US5773197A (en) Integrated circuit device and process for its manufacture
US7071281B2 (en) Poly(arylene ether) dielectrics
US6518392B2 (en) Dielectric compositions, preparation thereof, and integrated circuit devices fabricated therewith
US5962113A (en) Integrated circuit device and process for its manufacture
JPWO2003008483A1 (ja) 含フッ素芳香族ポリマー及びその用途
CN102093408B (zh) 多苯并环丁烯取代环状硅氧烷单体及其制备方法与应用
JPH09136954A (ja) ポリキノリン類の製造方法
US7192999B2 (en) Polyimides for use as high refractive index, thin film materials
JP4843870B2 (ja) 新規ポリアリーレンエーテル、その製造方法及びその用途
EP0110465B1 (en) Biphenylene end-capped quinoxaline polymers and their use as insulating coatings for semiconductor devices
JP2003252982A (ja) 有機絶縁膜材料、その製造方法、有機絶縁膜の形成方法、及び、有機絶縁膜を設けた半導体装置
JP3462941B2 (ja) 半導体基板の層間絶縁膜および/または表面保護膜用組成物ならびに半導体装置
JPH0637459A (ja) 多層配線構造の製造法
JPH06122768A (ja) 新規な共重合体およびその製造方法
JPH05156021A (ja) 有機硅素重合体と半導体装置の製造方法
JPH06140384A (ja) 半導体装置
JPS63152673A (ja) 耐熱樹脂組成物
JP2006137716A (ja) 有機膜および半導体装置
JP2000195854A (ja) 半導体装置の層間絶縁膜及び/又は表面保護膜用組成物並びに半導体装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101210

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee