KR0142055B1 - 정전 척 - Google Patents

정전 척

Info

Publication number
KR0142055B1
KR0142055B1 KR1019940031042A KR19940031042A KR0142055B1 KR 0142055 B1 KR0142055 B1 KR 0142055B1 KR 1019940031042 A KR1019940031042 A KR 1019940031042A KR 19940031042 A KR19940031042 A KR 19940031042A KR 0142055 B1 KR0142055 B1 KR 0142055B1
Authority
KR
South Korea
Prior art keywords
dielectric layer
less
clamping
electrostatic chuck
protrusions
Prior art date
Application number
KR1019940031042A
Other languages
English (en)
Other versions
KR950015708A (ko
Inventor
테츠오 기타바야시
아츠시 오바라
준 미야지
야수미 사고
마사미 사사키
Original Assignee
시게후치 마사토시
토토기키 가부시키 가이샤
니시히라 슈운지
닛덴 아네루바 가부시키 가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 시게후치 마사토시, 토토기키 가부시키 가이샤, 니시히라 슈운지, 닛덴 아네루바 가부시키 가이샤 filed Critical 시게후치 마사토시
Publication of KR950015708A publication Critical patent/KR950015708A/ko
Application granted granted Critical
Publication of KR0142055B1 publication Critical patent/KR0142055B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Jigs For Machine Tools (AREA)

Abstract

면내 온도차를 최소화하며 반도체 웨이퍼를 정전기적으로 크램핑하는 정전 척은 금속판 위에 유전체층을 접합하여 이루어지고, 이 유전체층에 내부전극을 설치되어 있다. 유전체층(3)의 상면에는 돌기와 실질적으로 동일한 높이의 외주영역을 형성하고, 다시 내측을 크램핑영역으로 하며, 크램핑영역내에 다수의 돌기를 위치, 설치하고, 돌기의 상면을 반도체 웨이퍼와 직접 접촉하고 크램핑면으로 하고 있다. 유전체층(3)의 체적 고유저항을 109Ωm 이하로 하고, 돌기(5)의 크램핑면으로 되는 상면의 Rmax(최대높이)를 2.0㎛ 이하, 또는 Ra(중신선 평균 거칠음)을 0.25㎛로 한다. 또한, 돌기 상면의 합계면적의 유전체층의 상면에 대한 면적비율을 1% 이상 10% 미만이다.

Description

정전 척
제1도는 본 발명에 관한 정전 척의 단면도이며
제2도는 제 1 도에 나타낸 정전 척의 평면도이며
제3도(a)는 돌기의 상부표면에 합계 면적에 대한 정전 척의 유전체층의 상부 표면의 합계 면적의 비율을 10% 미만으로 하여 제 1 도 및 제 2 도에 나타낸 정전처에 반도체 웨이퍼가 크램핑(clamping)되는 동안 플라스마 출력전압 450W에서 처리한 경우의 DC전압과 웨이퍼의 중앙부 및 주연부(c), (e)의 온도와의 관계를 나타낸 그래프이며,
제 3 도(b)는 돌기의 상부표면의 합계 면적에 대한 정전 척의 유전체층의 상부 표면에 합계 면적의 비율을 10% 미만으로 하여 제 1 도 및 제 2 도에 나타낸 정전척에 반도체 웨이퍼가 크램핑되는 동안 플라스마 출력전압 550W 에서 처리한 경우의 DC전압과 웨이퍼의 중앙부 및 주연부(c), (e)의 온도와의 관계를 나타낸 그래프이며
제 4 도(a)는 돌기의 상부표면의 합계 면적에 대한 정전 척의 유전체층의 상부 표면의 합계 면적의 비율을 75%로 하여 제 1 도 및 제 2 도에 나타낸 정전 척에 반도체 웨이퍼가 크램핑되는 동안 플라즈마 출력전압 450W에서 처리한 경우의 DC전압과 웨이퍼의 중앙부 및 주연부(c), (e)의 온도와의 관계를 나타낸 그래프이며
제 4 도(b)는 돌기의 상부표면의 합계 면적에 대한 정전 척의 유전체층의 상부표면에 합계 면적의 비율을 75%로 하여 제 1 도 및 제 2 도에 나타낸 정전 척에 반도체 웨이퍼가 크램핑되는 동안 플라스마 출력전압 550W에서 처리한 경우의 DC전압과 웨이퍼의 중앙부 및 주연부(c),(e)의 온도와의 관계를 나타낸 그래프이며
제 5 도는 돌기의 상부표면의 합계 면적에 대한 정전 척으 유전체층의 상부표면의 합계 면적의 비율을 10%로 하여 제 1 도 및 제 2 도에 나타낸 정전 척에 반도체 웨이퍼가 크램핑되는 동안 반도체 웨이퍼에 인가된 DC전압과 반도체 웨이퍼에 작용하는 크램핑력과의 나타낸 그래프이며
제 6 도는 돌기의 상부표면의 합계 면적에 대한 정전 척의 유전체층의 상부표면의 합계 면적의 비율을 10%로 하여 제 1 도 및 제 2 도에 나타낸 정전 척에 반도체 웨이퍼가 크램핑되는 동안 유전체층의 체적저항과 전압인가 시간 및 반도체 웨이퍼에 작용하는 크램핑력과의 관계를 나타낸 그래프이다.
본 발명은 반도체 웨이퍼 등을 정전력으로 고정하는 정전 척(chuck)에 관한 것이다.
반도체 웨이퍼에 플라스마 분위기하에서 에칭 등의 처리를 수행하는 경우에는 감압하, 즉 플라스마가 발생하기 쉬운 분위기중에서 수행할 필요가 있다.
낮은 압력하에서 반도체 웨이퍼를 고정하는 수단으로서는 일본국 특개평 1-313954호 공보 및 일본국 특개평 5-6933호 공보 및 일본국 특개평 3-73453호 공보에 개시된 정전 척이 알려져 있다.
이들 선행기술에 개시된 정전 척은 모두 상면을 크램핑면으로 한 유전체층내에 내부 전극을 설치하고, 이 내부전극에 전압을 인가함으로서 정전력을 발생시켜 반도체 웨이퍼를 크램핑하도록 한 것이다. 일본국 특개평 1-313954호 공보에 개시된 정전 척에 있어서는 유전체층 상면에 돌출부를 설치하여 반도체 웨이퍼에 대하여 중앙부에서 정전력이 강하고, 주연부에서 정전력이 약하게 작용하도록 하였으며, 일본국 실개평 3-73453호 공보에 개시된 정전 척에서는 유전체층상면에 요홈((凹溝)을 형성하고, 여기에 헬륨 등의 냉각 개스를 흘려 반도체 웨이퍼의 표면온도를 균일하게 하여 정전 유전체층에 크램핑한다. 또한, 일본국 특개평 5-6933 호 공보에 개시된 정전 척에 있어서는 유전체층 상면에 요부(凹部)와 철부(凸部)(접촉부)를 설치하고, 이 철부의 상면에 반도체 웨이퍼를 지지함으로서 먼지의 부착 등을 방지한 것이다.
플라스마 분위기에서 반도체 웨이퍼에 에칭 처리 등을 수행할 경우, 플라스마의 열에 의해 반도체 웨이퍼의 표면은 고온으로 된다. 반도체 웨이퍼의 표면온도가 증가할수록 표면의 레지스트막이 파열하는 등의 문제가 생긴다. 또한 면내(面內)온도차가 생기면 처리가 불균일하게 된다.
그리하여 일본국 실개평 3-73453 호 공보에 개시된 바와 같이 헬륨 등의 냉각 개스를 흘려 반도체 웨이퍼의 표면온도를 균일하게 하여 정전 척에 크램핑하고 있다. 냉각 효과를 높이기 위하여는 냉각개스와 웨이퍼의 접촉면적을 크게 하기 위하여, 유전체층의 상면이 합계면적에 대하여 크램핑면인 돌출부 상면의 합계면적을 가능한 한 적게 할 필요가 있다. 그러나, 반도체 웨이퍼를 크램핑하는 돌출부 상면의 합계면적을 적게 하면, 크램핑력이 적게되어, 처리시에 웨이퍼가 정전 척에서 고정위치에서 미끄러진다든지, 떨어질 우려가 있다.
이 때문에 일본국 특개평 5-6933호 공보에도 기재된 바와 같이 종래는 유전체층이 상면의 합계면적에 대한 반도체웨이퍼의 접촉 상면의 합계면적 비율을 최대 10∼30%로 하여 왔다. 그 결과, 냉각개스와 웨이퍼의 접촉면적을 실질적으로 증가시킬 수 없었다. 따라서, 반도체 웨이퍼를 충분히 냉각시킬 수 없고, 또한 반도체 웨이퍼의 면내 온도도 편차를 일으키기 쉽다.
또한, 냉각효과를 높이기 위하여는 반도체 웨이퍼에 접촉되는 냉각개스압을 높이면 좋으나, 단순히 개스압만을 높여도 웨이퍼의 크랙이 일어나거나, 고정위치에서 미끄러져 버린다.
본 발명의 목적은 전압을 인가하면 신속히 크램핑력이 포화하여 피흡착체를 정전기적으로 크램핑하고, 전압인가를 중지하면 곧 웨이퍼가 박리하도록 한 정전척에 관한 것이다.
본 발명에 관한 정전력하에 피흡착제를 크램핑하는 정전 척은 유전체층과 전압인가에 대응하여 정전력을 발생시키기 위하여 유전체층에 설치된 내부전극을 가지며, 유전체층의 체적저항을 109Ωm 이하로 하고, 또한 유전체층의 상면에 피흡착체를 크램핑하는 다수의 돌기가 설치되며, 이 돌기는 접촉하는 피흡착체를 크램핑하기 위해 각각의 크램핑면을 가지며, 또한 돌기의 상면의 합계면적의 유전체층의 상면에 대한 면적비율을 1% 이상 10% 미만으로 되도록 하고 있다. 이 돌기의 크램핑면으로 되는 상면의 최대높이 Rmax를 2.0㎛ 이하, 또는 중심선 평균 거칠음 Ra를 0.25㎛ 이하로 하고 있다. 각각의 돌기의 직경은 3㎜ 이하이며, 인접돌기와의 거리는 11㎜ 이하이다. 피흡착체가 클램핑면에 클램프될 때, 유전체층의 상면과 피흡착체 사이에 10torr 이상 100torr 이하의 압력으로 냉각개스를 도입하기 위해서 유전체층상면에 냉각개스 도입공과 선단 개구부를 갖는다.
이하 본 발명의 실시예를 첨부도면에 의해 설명한다.
제 1도에 나타낸 바와 같이, 본 발명의 정전 척(1)은 금속판(2), 금속판(2)위에 접합되는유전체층(3) 및 이 유전체층(3)내에 매설 또는 협지된 내부전극(4)으로 이루어진다.
유전체층(3)의 상면에는 헬륨가스가 누출되지 않도록 1∼5㎜ 폭의 외주영역(3a)이 배치된다. 유전체층(3)의 상면에는 돌기(5)와 실질적으로 동일한 높이의 외주영역(3a)으로 하고, 그 내측을 크램핑영역(3b)으로 하며, 크램핑영역(3b)내에 다수의 돌기(5)를 배치한다. 이 돌기(5)의 상면을 반도체 웨이퍼와 직접 접촉하는 크램핑면으로 한다. 제 2도에 도시한 바와 같이, 이 돌기(5)는 동심원 패턴의 외측으로 외주영역(3a)이 배치되고, 유전체층(3)의 상부표면 중심 주위에 크램핑영역(3b)이 배치된 다수의 동심원 패턴으로 돌기(5)가 배열되어 있다. 그러나, 이 돌기(5)는 다른 패턴으로 배열되어도 좋다.
이 정전 척(1)에는 금속판(2)과 상단에 개구부를 갖는 유전체층(3)을 관통하는 한쌍의 대칭 냉각개스 도입공(6)이 형성되며, 이냉각개스 도입공(6)을 통하여 유전체층(3) 상면과, 외주영역(3a)와 돌기(5)가 위치한 반도체 웨이퍼W의 하면(下面) 사이로 헬륨 등의 냉각개스가 공급된다. 또한 금속판(2) 내부에는 정전 척(1)을 냉각하기 위한 냉매가 흐르는 한쌍의 유로(流路)(12)가 설치되어 있다.
또한 상기 내부전극(4)에는 직류전원(7)이 접속되며, 유전체층(3) 하면의 도체부(8)에는 고주파전원(9)이 접속되어 있다. 또한, 직류전원(7)은 금속판(2)에 접속되고, 고주파 전원(9)은 내부전극(4)에 접속되어도 좋다. 또, 플라스마 처리장치에서 정전척(1) 상방에는 접지된 대향전극(10)이 위치되어 있다.
작동시, 정전 척(1)에 반도체 웨이퍼 W를 놓고, 내부전극(4)에 직류전원(7)에 직류전압을 인가함으로서 정전력이 발생하여 웨이퍼 W는 유전체층(3), 구체적으로는 돌기(5)의 상면에 크램핑된다. 또한, 고주파전원(9)에 의해 고주파를 인가함으로써 반도체 웨이퍼W와 대향전극(10) 사이에 활성인 래디칼(11)이 발생하여 웨이퍼 표면에 실리콘 산화막 등이 에칭된다.
본 발명에 있어서는 유전체층(3)이 크램핑영역(3b)의 면적에 대한 돌기(5) 상면의 합계면적이 비율을 1% 이상 10% 미만으로 하고 있다. 1% 이상으로 하는 것은 필요한 크램핑력을 확보하기 위한 것이며, 10% 미만으로 하는 것은 제 3 도(a), 제 3 도(b), 제 4 도(a) 및 제 4 도(b)에 의하여 설명한다.
제 3 도(a) 및 제 3 도(b)는 면적비율을 10% 미만으로 하여 상이한 플라스마의 출력전압에서 처리한 경우의 DC 전압과 웨이퍼 온도와의 관계를 나타낸 그래프이고, 제 4 도(a) 및 제 4 도(b)는 면적비율 75%로 하여 상이한 플라스마의 출력전압에서 처리한 경우의 DC 전압과 웨이퍼 온도와의 관계를 나타낸 그래프이다.
제 3 도(a) 및 제 3 도(b)로부터 명백한 바와 같이, 면적비율을 10% 미만으로 한 경우에는 웨이퍼 W의 중앙부의 온도(선분 c로 나타낸다)와 주연부의 온도(선분 e로 나타낸다)가 거의 같음을 알 수 있다. 또한, 제 4 도(a) 및 제 4 도(b)로부터 명백한 바와 같이, 면적비율을 75%로 한 경우에는 웨이퍼 W는 중앙부의 온도와 주연부의 온도가 크게 다르고, 면내(面內)온도가 불균일함을 알 수 있다.
이것은 면적비율을 10% 미만으로 함으로서 냉각개스가 직접접촉하는 반도체 웨이퍼 W의 면적을 크게 할 수 있고, 내악효과를 높일 수 있기 때문이다.
또한 아래 표 1은 냉각개스의 압력과 면적비율과의 관계를 나타내는 것이며, 면적비율을 10% 미만으로 함으로써 냉각효과가 높음을 알 수 있다.
플라스마 출력 : 450W
냉매온도 : 80℃
CI 원자함유 개스 : 0.15torr
또한, 하기 표 2는 면적비율을 10%로 고정하고, 이 상태에서 돌기간의 간격(또는 돌기의 직경)과 냉매개스 압력과의 관계를 반도체 웨이퍼의 크램핑이 양호한 것인가와 웨이퍼의 파손 또는 이탈의 점에서 실험한 결과를 나타낸 것이다.
제 2도로부터 돌기(5)간의 간격을 좁게 하는, 즉 돌기(5)의 직경을 작게 함으로써 고압의 냉각개스를 흐르게 함을 알 수 있다. 정전 척(1)에서 예시한 바와 같이, 각 돌기(5)의 직경을 3㎜이하, 돌기(5) 사이의 거리를 11㎜이하로 하는 것이 바람직하다.
O : 반도체 웨이퍼 크램핑 양호
X : 반도체 웨이퍼 파손 또는 이탈
제 5도는 본 발명에 관한 정전 척(1)의 크램핑력과 반도체 웨이퍼W에 실온에서 인가된 전압의 관계를 나타내 그래프이다. 제 5도로부터 명백한 바와 같이 본 발명에 관한 정전 척(1)에서는 면적비율이 10% 미만임에도 불구하고, 충분한 크램핑력을 발휘하고 있음을 알 수 있다. 그 이유를 제 6 도 및 하기 식(1)에 의해 설명한다.
제 6 도는 유전체층(3)의 체적저항과 반도체 웨이퍼W에 실온에서 전압이 인가된 시간 및 반도체 웨이퍼W에 실온에서 작용하는 클램핑력과의 관계를 나타내는 그래프이며, 제 6도로부터 체적저항이 10 Ωm 이하인 것이 전압인가에 의해 곧 크팸핑력이 포화하는 조건임을 알 수 있다.
또한, 하기 식(1)은 크램핑력(F)과 크램핑면의 최대높이(Rmax) 사이의 관계를 나타낸 것이다.
F = ε·V /2δ
식중, F : 크램핑력 ;
ε: 진공의 유전율
V : 정전 척과 반도체 웨이퍼 사이의 전위차
δ : 정전 척과 반도체 웨이퍼의 표면 거칠음(최대 거칠음 Rmax)의 평균치
상기 식(1)로부터 크램핑력의 Rmax(최대높이)를 2.0㎛ 또는 Ra(중심선 평균 거칠음)을 0.25㎛ 이하로 하는 것이 충분한 크램핑력F을 발휘하는데 필요로 함을 알 수 있다.
즉, 본 발명의 정전 척(1)이 실온에서 사용될 때, 유전체층(3)의 체적저항을 10 Ωm 이하, 크램핑면의 Rmax(최대높이)를 2.0㎛ 또는 Ra(중심선 평균 거칠음)을 0.25㎛ 이하로 하는 것이 면적비율을 10% 미만으로 하기 위한 필요조건이다.
전술한 바와 같이 본 발명에 관한 정전 척은 내부전극(4)을 매설 또는 협지하 유전체층(3)의 체적 고유저항을 10 Ωm 이하로 하고, 또한 유전체층(3)의 상면에 다수의 돌기가 설치되며, 이 돌기의 크램핑면으로 되는 상면이 Rmax(최대높이)를 2.0㎛ 이하 또는 Ra(중심선 평균 거칠음)을 0.25㎛로 하고, 또한 돌기 상면의 합계면적의 유전체층(3)의 상면에 대한 면적비율을 1% 이상 10%미만으로 되도록 한 것이어서 크램핑력이 크고, 전압인가 즉시 크램핑력이 포화하고, 전압인가 중지 즉시 웨이퍼가 박리하도록 한 정전 척이 얻어질 수 있다.
이와 같은 특성의 정전 척(1)을 이용함에 있어서 반도체 웨이퍼 W와 접촉하는 유전체층(2)의 크램핑 영역(3b)의 면적 비율이 10%미만의 정전 척(1)으로 할 수 있다. 그 결과, 상기 정전 척(1)을 이용하는 반도체 웨이퍼 W의 처리방법으로서 유전체층(3)과 반도체 웨이퍼 W의 사이로 10torr 이상 100torr 이하의 압력으로 냉가가 개스를 흘려 보내는 것이 가능하게 되어 냉각 효과가 높게 되고, 반도체 웨이퍼 W의 면내 온도차를 적게 할 수 있기 때문에, 반도체 웨이퍼 W를 플라스마 처리장치를 이용하여 에칭하는 경우 등에서 처리가 균일하게 된다.
전술한 것은 본 발명의 바람직한 실시예이나, 본 발명이 그의 실체를 일탈하지 않고다른 측정형태로 구체화될 수 있음이 이해되어야 한다. 본 발명의 실시예는 예시하는 것이지 한정하는 것은 아니다. 본 발명의 범위는 전술한 기재사항에 의해서 보다는 첨부된 청구범위에 의해 정하여져야 한다.

Claims (12)

  1. 유전체층; 전압을 인가에 대응하여 정전력을 발행하는 유전체층에 설치된 내부전극; 체적 고유저항이 109Ωm이고, 또한 유전체층의 상며네는 피흡착체를 크램핑하기 위한 다수의 돌기가 설치되며, 이 돌기는 접촉하여 피흡착체를 크램핑하기 위한 크램핑상면을 가지며, 이 크램핑상면은 합계의 유전체층의 상면에 대한 면적비율이 1% 이상 10% 미만인 유전체층으로 구성된 정전력하에서 피흡착체를 크램핑하기 위한 정전 척.
  2. 제 1 항에 있어서, 크램핑면으로 되는 상면의 최대높이 Ramx가 2.0㎛ 이하인 것을 특징으로 하는 정전 척.
  3. 제 1 항에 있어서, 크램핑면으로 되는 상면의 중심선 평균 거칠음이 0.25㎛ 이하인 것을 특징으로 하는 정전 척.
  4. 제 2 항에 또는 제 3 항에 있어서, 상기 돌기의 직경이 3mm 이하이고, 돌기사이의 간격이 11mm 이하인 것을 특징으로 하는 정전 척.
  5. 제 4 항에 있어서, 상기 유전체층은 피흡착체가 크램핑상면에 크램핑될 때 유전체층의 상면과 피흡착체 사이에 10torr 이상 100torr 이하의 압력으로 냉각개스를 흐르게 하기 위한 냉각개스 도입공과 상면에 선단개구를 갖는 것이 특징인 정전 척.
  6. 금속판; 체적저항이 109Ωm이며 상기 금속판에 배설된 유전체층; 상기 유전체응에 배설된 내부전극; 피흡착제를 크램핑하기 위하여 상면에 배설된 다수의 돌기를 가지며, 이 돌기는 피흡착체를 접촉하여 크램핑하는 크램핑상면을 가지며, 동기의 상면이 합계면적의 유전체층의 상면에 대한 면적비율이 1% 이상 10% 미만인 유전체층으로 구성된 것을 정전 척.
  7. 제 6 항에 있어서, 크램핑면으로 되는 상면의 최대높이 Ram가 2.0㎛ 이하인 것을 특징으로 하는 정전 척.
  8. 제 6 항에 있어서, 크램핑면으로 되는 상면의 중심선 평균 거칠음이 0.25㎛ 이하인 것을 특징으로 하는 정전 척.
  9. 제 7 항에 또는 제 8 항에 있어서, 상기 돌기의 직경이 3mm 이하이고, 돌기사이의 간격이 11mm 이하인 것을 특징으로 하는 정전 척.
  10. 제 9 항에 있어서, 상기 유전체층의 상면에 돌기와 실질적으로 동이한 높이를 가지며 주연부를 따라 배치된 외주영역을 형성하며, 전기 돌기는 외주영역의 내측으로 위치된 정전 척.
  11. 제 10항에 있어서, 유전체층의 상부 표면중심주위에 다수의 돌기를 동심원 패턴으로 배열되고, 상기 외주영역은 돌기의 동심원 패턴의 외측으로 배치된 정전 측.
  12. 제 11항에 있어서, 피크램핑제가 크램핑표면에 크램핑될 때 유전체층의 상면과 피흡착제 사이로 10torr 내지 100torr의 압력으로 냉각개스가 도입될 수 있도록 유전체층상면에 냉각개스 도입공과 선단개구를 갖는 것이 특징인 정전 척.
KR1019940031042A 1993-11-29 1994-11-24 정전 척 KR0142055B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP29838893A JPH07153825A (ja) 1993-11-29 1993-11-29 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
JP298388/1993 1993-11-29

Publications (2)

Publication Number Publication Date
KR950015708A KR950015708A (ko) 1995-06-17
KR0142055B1 true KR0142055B1 (ko) 1998-07-15

Family

ID=17859060

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940031042A KR0142055B1 (ko) 1993-11-29 1994-11-24 정전 척

Country Status (4)

Country Link
US (1) US5530616A (ko)
JP (1) JPH07153825A (ko)
KR (1) KR0142055B1 (ko)
TW (1) TW258827B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100626118B1 (ko) * 1998-09-30 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 웨이퍼 프로세싱 시스템내에 웨이퍼를 유지하기위한 정전 척을 포함하는 음극 어셈블리
KR100709589B1 (ko) * 2005-11-14 2007-04-20 (주)소슬 웨이퍼를 용이하게 탈착시킬 수 있는 엠보싱 척
US7580238B2 (en) 2007-05-18 2009-08-25 Dongbu Hitek Co., Ltd. Electrostatic chuck structure for semiconductor manufacturing apparatus
KR100963722B1 (ko) * 2007-02-14 2010-06-14 도쿄엘렉트론가부시키가이샤 기판 탑재대 및 그 표면 처리 방법

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6278600B1 (en) 1994-01-31 2001-08-21 Applied Materials, Inc. Electrostatic chuck with improved temperature control and puncture resistance
US5631803A (en) * 1995-01-06 1997-05-20 Applied Materials, Inc. Erosion resistant electrostatic chuck with improved cooling system
JP3457477B2 (ja) * 1995-09-06 2003-10-20 日本碍子株式会社 静電チャック
US5781400A (en) * 1995-09-20 1998-07-14 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
JPH09172055A (ja) * 1995-12-19 1997-06-30 Fujitsu Ltd 静電チャック及びウエハの吸着方法
US5841623A (en) * 1995-12-22 1998-11-24 Lam Research Corporation Chuck for substrate processing and method for depositing a film in a radio frequency biased plasma chemical depositing system
JPH09213777A (ja) * 1996-01-31 1997-08-15 Kyocera Corp 静電チャック
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US5986873A (en) * 1996-07-01 1999-11-16 Packard Hughes Interconnect Co. Creating surface topography on an electrostatic chuck with a mandrel
US6217655B1 (en) 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
US5870271A (en) * 1997-02-19 1999-02-09 Applied Materials, Inc. Pressure actuated sealing diaphragm for chucks
US5986874A (en) * 1997-06-03 1999-11-16 Watkins-Johnson Company Electrostatic support assembly having an integral ion focus ring
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US5903428A (en) * 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
JP3983387B2 (ja) * 1998-09-29 2007-09-26 日本碍子株式会社 静電チャック
US6320736B1 (en) 1999-05-17 2001-11-20 Applied Materials, Inc. Chuck having pressurized zones of heat transfer gas
JP2000340640A (ja) * 1999-05-31 2000-12-08 Toto Ltd 非接触型静電吸着装置
US6373679B1 (en) 1999-07-02 2002-04-16 Cypress Semiconductor Corp. Electrostatic or mechanical chuck assembly conferring improved temperature uniformity onto workpieces held thereby, workpiece processing technology and/or apparatus containing the same, and method(s) for holding and/or processing a workpiece with the same
KR20010018837A (ko) * 1999-08-23 2001-03-15 김영환 반도체 식각장비의 정전척
TW473792B (en) 2000-01-20 2002-01-21 Ngk Insulators Ltd Electrostatic chuck
JP3859937B2 (ja) 2000-06-02 2006-12-20 住友大阪セメント株式会社 静電チャック
JP4697833B2 (ja) * 2000-06-14 2011-06-08 キヤノンアネルバ株式会社 静電吸着機構及び表面処理装置
JP4312394B2 (ja) * 2001-01-29 2009-08-12 日本碍子株式会社 静電チャックおよび基板処理装置
JP3626933B2 (ja) * 2001-02-08 2005-03-09 東京エレクトロン株式会社 基板載置台の製造方法
TWI272689B (en) * 2001-02-16 2007-02-01 Tokyo Electron Ltd Method and apparatus for transferring heat from a substrate to a chuck
JP3758979B2 (ja) * 2001-02-27 2006-03-22 京セラ株式会社 静電チャック及び処理装置
JP2002270681A (ja) * 2001-03-07 2002-09-20 Anelva Corp 基板処理用静電吸着機構
US6628503B2 (en) * 2001-03-13 2003-09-30 Nikon Corporation Gas cooled electrostatic pin chuck for vacuum applications
US6890383B2 (en) * 2001-05-31 2005-05-10 Shin-Etsu Handotai Co., Ltd. Method of manufacturing semiconductor wafer and susceptor used therefor
US6506291B2 (en) * 2001-06-14 2003-01-14 Applied Materials, Inc. Substrate support with multilevel heat transfer mechanism
US6490145B1 (en) 2001-07-18 2002-12-03 Applied Materials, Inc. Substrate support pedestal
JP4094262B2 (ja) 2001-09-13 2008-06-04 住友大阪セメント株式会社 吸着固定装置及びその製造方法
JP2003100855A (ja) 2001-09-27 2003-04-04 Shin Etsu Handotai Co Ltd シリコン単結晶ウェーハ処理装置、シリコン単結晶ウェーハおよびシリコンエピタキシャルウェーハの製造方法
JP4288694B2 (ja) * 2001-12-20 2009-07-01 株式会社ニコン 基板保持装置、露光装置及びデバイス製造方法
JP2003224180A (ja) * 2002-01-28 2003-08-08 Kyocera Corp ウエハ支持部材
KR100511854B1 (ko) * 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
US20040055709A1 (en) * 2002-09-19 2004-03-25 Applied Materials, Inc. Electrostatic chuck having a low level of particle generation and method of fabricating same
JP2004128019A (ja) * 2002-09-30 2004-04-22 Applied Materials Inc プラズマ処理方法及び装置
CN1311301C (zh) * 2002-12-23 2007-04-18 Asml荷兰有限公司 一种光刻投影装置
KR20040070008A (ko) 2003-01-29 2004-08-06 쿄세라 코포레이션 정전척
US7033443B2 (en) * 2003-03-28 2006-04-25 Axcelis Technologies, Inc. Gas-cooled clamp for RTP
EP1498777A1 (en) * 2003-07-15 2005-01-19 ASML Netherlands B.V. Substrate holder and lithographic projection apparatus
JP4309714B2 (ja) * 2003-08-27 2009-08-05 信越化学工業株式会社 静電吸着機能を有する加熱装置
EP1510868A1 (en) * 2003-08-29 2005-03-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
US6905984B2 (en) * 2003-10-10 2005-06-14 Axcelis Technologies, Inc. MEMS based contact conductivity electrostatic chuck
US7663860B2 (en) 2003-12-05 2010-02-16 Tokyo Electron Limited Electrostatic chuck
US7245357B2 (en) * 2003-12-15 2007-07-17 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7586734B2 (en) 2004-06-28 2009-09-08 Kyocera Corporation Electrostatic chuck
US7646580B2 (en) 2005-02-24 2010-01-12 Kyocera Corporation Electrostatic chuck and wafer holding member and wafer treatment method
JP2007173596A (ja) * 2005-12-22 2007-07-05 Ngk Insulators Ltd 静電チャック
US20070217119A1 (en) * 2006-03-17 2007-09-20 David Johnson Apparatus and Method for Carrying Substrates
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
JP2006303514A (ja) * 2006-05-01 2006-11-02 Fujitsu Ltd 静電チャック、成膜方法及びエッチング方法
US7558045B1 (en) * 2008-03-20 2009-07-07 Novellus Systems, Inc. Electrostatic chuck assembly with capacitive sense feature, and related operating method
US8139340B2 (en) * 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
KR102203118B1 (ko) * 2013-01-22 2021-01-15 에이에스엠엘 네델란즈 비.브이. 정전기 클램프
US10557190B2 (en) * 2013-01-24 2020-02-11 Tokyo Electron Limited Substrate processing apparatus and susceptor
JP6100564B2 (ja) * 2013-01-24 2017-03-22 東京エレクトロン株式会社 基板処理装置及び載置台
JP6649689B2 (ja) * 2015-03-16 2020-02-19 株式会社ディスコ 減圧処理装置及びウエーハの保持方法
US20170069518A1 (en) * 2015-09-04 2017-03-09 Globalfoundries Inc. Electrostatic substrate holder with non-planar surface and method of etching
US11152238B2 (en) * 2017-11-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing stage profiler jig
CN111937132A (zh) * 2018-04-04 2020-11-13 朗姆研究公司 带密封表面的静电卡盘
US11133212B2 (en) * 2018-05-16 2021-09-28 Applied Materials, Inc. High temperature electrostatic chuck
US10663871B2 (en) * 2018-07-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle stage and method for using the same
JP6705550B1 (ja) * 2019-03-22 2020-06-03 Toto株式会社 静電チャック

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2106325A (en) * 1981-09-14 1983-04-07 Philips Electronic Associated Electrostatic chuck
JPS6060060A (ja) * 1983-09-12 1985-04-06 株式会社日立製作所 鉄道車両の扉開閉装置
US4724510A (en) * 1986-12-12 1988-02-09 Tegal Corporation Electrostatic wafer clamp
JPS6456933A (en) * 1987-08-27 1989-03-03 Japan Electronic Control Syst Deceleration controller for internal combustion engine
ATE95513T1 (de) * 1988-04-26 1993-10-15 Toto Ltd Verfahren zur herstellung dielektrischer keramik fuer elektrostatische haltevorrichtungen.
JPH01313954A (ja) * 1988-06-14 1989-12-19 Fujitsu Ltd 静電チャック
US4962441A (en) * 1989-04-10 1990-10-09 Applied Materials, Inc. Isolated electrostatic wafer blade clamp
JP2902677B2 (ja) * 1989-08-14 1999-06-07 三洋電機株式会社 磁気記録再生装置
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5306895A (en) * 1991-03-26 1994-04-26 Ngk Insulators, Ltd. Corrosion-resistant member for chemical apparatus using halogen series corrosive gas
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5267607A (en) * 1991-05-28 1993-12-07 Tokyo Electron Limited Substrate processing apparatus
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5315473A (en) * 1992-01-21 1994-05-24 Applied Materials, Inc. Isolated electrostatic chuck and excitation method
JP2854453B2 (ja) * 1992-03-27 1999-02-03 京セラ株式会社 半導体ウェハ保持装置
JP2798570B2 (ja) * 1992-12-01 1998-09-17 京セラ株式会社 静電チャック
US5350479A (en) * 1992-12-02 1994-09-27 Applied Materials, Inc. Electrostatic chuck for high power plasma processing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100626118B1 (ko) * 1998-09-30 2006-09-20 어플라이드 머티어리얼스, 인코포레이티드 반도체 웨이퍼 프로세싱 시스템내에 웨이퍼를 유지하기위한 정전 척을 포함하는 음극 어셈블리
KR100709589B1 (ko) * 2005-11-14 2007-04-20 (주)소슬 웨이퍼를 용이하게 탈착시킬 수 있는 엠보싱 척
KR100963722B1 (ko) * 2007-02-14 2010-06-14 도쿄엘렉트론가부시키가이샤 기판 탑재대 및 그 표면 처리 방법
US9214376B2 (en) 2007-02-14 2015-12-15 Tokyo Electron Limited Substrate mounting stage and surface treatment method therefor
US7580238B2 (en) 2007-05-18 2009-08-25 Dongbu Hitek Co., Ltd. Electrostatic chuck structure for semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
KR950015708A (ko) 1995-06-17
US5530616A (en) 1996-06-25
TW258827B (ko) 1995-10-01
JPH07153825A (ja) 1995-06-16

Similar Documents

Publication Publication Date Title
KR0142055B1 (ko) 정전 척
KR100399647B1 (ko) 개선된냉각시스템을갖는부식방지정전척
US9728381B2 (en) Plasma processor and plasma processing method
JP4547182B2 (ja) プラズマ処理装置
US5255153A (en) Electrostatic chuck and plasma apparatus equipped therewith
JP5492578B2 (ja) プラズマ処理装置
JP6173313B2 (ja) ウエハ背面のプラズマ支援デチャックを備えた静電チャック
KR100369871B1 (ko) 정전 척
KR20020019030A (ko) 정전 척 및 처리장치
KR19980024679A (ko) 정전 척과 그것을 이용한 시료처리방법 및 장치
IE52318B1 (en) Method and apparatus for dry etching and electrostatic chucking device used therein
JPH08293539A (ja) 半導体製造方法および装置
JP2010522997A (ja) 分離された電極を備えた静電チャック
JPS63283037A (ja) 静電吸着装置
JP4602528B2 (ja) プラズマ処理装置
JPH04371579A (ja) 静電吸着装置
JP3792865B2 (ja) 半導体装置の製造装置およびドライエッチング方法
JP3862676B2 (ja) プラズマエッチング方法
JPH09293775A (ja) 静電チャック
JPH07201818A (ja) ドライエッチング装置
JP3251762B2 (ja) 接合部の形成方法及び処理装置の部材間の接合方法
JPH09129716A (ja) 静電吸着装置とその製造方法、ウエハ処理方法
JP3485335B2 (ja) プラズマ処理装置の静電チャック
JP2000124139A (ja) プラズマ処理装置
JPH0395953A (ja) 静電吸着型ウエハサセプタ

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130312

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20140225

Year of fee payment: 17

EXPY Expiration of term