JPWO2019231599A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2019231599A5
JPWO2019231599A5 JP2020567106A JP2020567106A JPWO2019231599A5 JP WO2019231599 A5 JPWO2019231599 A5 JP WO2019231599A5 JP 2020567106 A JP2020567106 A JP 2020567106A JP 2020567106 A JP2020567106 A JP 2020567106A JP WO2019231599 A5 JPWO2019231599 A5 JP WO2019231599A5
Authority
JP
Japan
Prior art keywords
protective film
substrate
process chamber
forming
internal components
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020567106A
Other languages
Japanese (ja)
Other versions
JP7391047B2 (en
JP2021526585A (en
Publication date
Priority claimed from US16/383,354 external-priority patent/US10704141B2/en
Application filed filed Critical
Publication of JP2021526585A publication Critical patent/JP2021526585A/en
Publication of JPWO2019231599A5 publication Critical patent/JPWO2019231599A5/ja
Application granted granted Critical
Publication of JP7391047B2 publication Critical patent/JP7391047B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (20)

基板を処理する方法であって、
プロセスチャンバ内において、ALD又はCVDを介して、チャンバ側壁、チャンバ底部、基板支持ペデスタル、シャワーヘッド、及びチャンバ上部を含む複数の内部構成要素上に保護膜を形成することと、
前記保護膜を形成した後に、前記基板支持ペデスタル上に基板を配置することであって、前記基板は前記基板支持ペデスタルの前記保護膜を含まない部分と接触する、前記基板支持ペデスタル上に前記基板を配置することと
を含む方法。
It ’s a way to process the board.
Forming a protective film in the process chamber via ALD or CVD on multiple internal components including the chamber sidewall, chamber bottom, substrate support pedestal, shower head, and chamber top.
After the protective film is formed, the substrate is placed on the substrate-supporting pedestal, and the substrate is in contact with a portion of the substrate-supporting pedestal that does not include the protective film, and the substrate is placed on the substrate-supporting pedestal. And how to include it.
前記保護膜を形成する前に、前記プロセスチャンバを300℃から600℃の予熱温度に加熱することを更に含み、前記保護膜の形成は前記プロセスチャンバが前記予熱温度にある間に行われる、請求項1に記載の方法。 Further comprising heating the process chamber to a preheating temperature of 300 ° C. to 600 ° C. prior to forming the protective film, the formation of the protective film is carried out while the process chamber is at the preheating temperature. The method according to claim 1. 前記保護膜を形成する前に、前記プロセスチャンバを1トルから600トルの圧力に加圧することを更に含む、請求項1に記載の方法。 The method of claim 1, further comprising pressurizing the process chamber to a pressure of 1 to 600 torr prior to forming the protective film. 前記基板支持ペデスタル上に前記基板を配置する前に、前記プロセスチャンバの処理温度を200℃から600℃に設定することを更に含む、請求項1に記載の方法。 The method of claim 1, further comprising setting the processing temperature of the process chamber from 200 ° C. to 600 ° C. prior to placing the substrate on the substrate support pedestal. 前記基板を配置した後に、前記プロセスチャンバ内において少なくとも1つの工程を実施することと、
続いて、前記プロセスチャンバから前記基板を取り出すことと、
前記プロセスチャンバを洗浄することであって、前記プロセスチャンバの洗浄により、前記プロセスチャンバの前記複数の内部構成要素から前記保護膜が除去される、前記プロセスチャンバを洗浄することと
を更に含む、請求項4に記載の方法。
After placing the substrate, performing at least one step in the process chamber
Subsequently, the substrate is taken out from the process chamber, and
A claim that further comprises cleaning the process chamber, wherein cleaning the process chamber removes the protective film from the plurality of internal components of the process chamber. Item 4. The method according to Item 4.
フッ素含有プラズマを使用して、前記プロセスチャンバの前記複数の内部構成要素から前記保護膜を除去することを更に含む、請求項5に記載の方法。 5. The method of claim 5, further comprising removing the protective film from the plurality of internal components of the process chamber using a fluorine-containing plasma. 前記膜が、アモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む、請求項5に記載の方法。 The method of claim 5, wherein the film comprises amorphous Si, carbosilane, polysilicon, SiC, SiN, SiO 2 , Al 2 O 3 , AlON, HfO 2 , or Ni 3 Al. プロセスチャンバを保護する方法であって、
プロセスチャンバに少なくとも1つのガスを導入することと、
前記プロセスチャンバ内における前記少なくとも1つのガスの配置に応じて、前記プロセスチャンバの複数の内部構成要素から第1の保護膜を除去することと、
前記プロセスチャンバ内において、ALD又はCVDを介して、前記複数の内部構成要素上に第2の保護膜を形成することであって、前記第2の保護膜はアモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む、前記複数の内部構成要素上に第2の保護膜を形成することと、
前記第2の保護膜を形成した後に、基板支持ペデスタル上に基板を配置することと
を含む方法。
A way to protect the process chamber
Introducing at least one gas into the process chamber,
Depending on the placement of the at least one gas in the process chamber, removing the first protective film from the plurality of internal components of the process chamber.
In the process chamber, a second protective film is formed on the plurality of internal components via ALD or CVD, and the second protective film is amorphous Si, carbosilane, polysilicon, SiC. To form a second protective film on the plurality of internal components, including SiN, SiO 2 , Al 2 O 3 , AlON, HfO 2 , or Ni 3 Al.
A method comprising arranging a substrate on a substrate-supporting pedestal after forming the second protective film.
前記第2の保護膜を80nmから250nmの厚さに形成することを更に含む、請求項8に記載の方法。 The method of claim 8, further comprising forming the second protective film to a thickness of 80 nm to 250 nm. 前記第1の保護膜が第1の材料を含み、前記第2の保護膜が第2の材料を含み、前記第1の材料は前記第2の材料とは異なる、請求項8に記載の方法。 The method of claim 8, wherein the first protective film comprises a first material, the second protective film comprises a second material, and the first material is different from the second material. .. 前記第2の保護膜を形成する前に、前記第2の保護膜が前記基板支持ペデスタルの一部上に形成されないように前記基板支持ペデスタルの少なくとも一部上にカバーを配置することを更に含む、請求項8に記載の方法。 Prior to forming the second protective film, further comprising placing a cover on at least a portion of the substrate support pedestal so that the second protective film is not formed on the portion of the substrate support pedestal. , The method according to claim 8. 前記保護膜を形成する前に、前記プロセスチャンバを300℃から600℃の予熱温度に加熱することを更に含み、前記第2の保護膜の形成は前記プロセスチャンバが前記予熱温度にある間に行われる、請求項8に記載の方法。 The formation of the second protective film further comprises heating the process chamber to a preheating temperature of 300 ° C. to 600 ° C. prior to forming the protective film, wherein the process chamber is at the preheating temperature. The method according to claim 8, which is performed in the meantime. 基板を処理する方法であって、
プロセスチャンバ内において、ALD又はCVDを介して、チャンバ側壁、チャンバ底部、基板支持ペデスタル、シャワーヘッド、及びチャンバ上部を含む複数の内部構成要素上に保護膜を形成することであって、前記保護膜はアモルファスSi、カルボシラン、ポリシリコン、SiC、SiN、SiO、Al、AlON、HfO、又はNiAlを含む、複数の内部構成要素上に保護膜を形成することと、
前記保護膜を形成した後に、前記基板支持ペデスタル上に基板を配置することであって、前記保護膜は前記基板支持ペデスタルの一部上に形成されておらず、前記基板は前記基板支持ペデスタルの前記保護膜を含まない前記一部と接触する、前記基板支持ペデスタル上に前記基板を配置することと
を含む方法。
It ’s a way to process the board.
The protective film is formed in the process chamber via ALD or CVD on a plurality of internal components including a chamber sidewall, a chamber bottom, a substrate support pedestal, a shower head, and a chamber top. Forming a protective film on multiple internal components, including amorphous Si, carbosilane, polysilicon, SiC, SiN, SiO 2 , Al 2 O 3 , AlON, HfO 2 , or Ni 3 Al.
After forming the protective film, the substrate is placed on the substrate-supporting pedestal, the protective film is not formed on a part of the substrate-supporting pedestal, and the substrate is of the substrate-supporting pedestal. A method comprising arranging the substrate on the substrate support pedestal in contact with the portion that does not include the protective film.
前記保護膜を形成する前に、前記プロセスチャンバを300℃から600℃の予熱温度に加熱することを更に含、請求項13に記載の方法。 13. The method of claim 13, further comprising heating the process chamber to a preheating temperature of 300 ° C to 600 ° C prior to forming the protective film. 前記基板支持ペデスタル上に前記基板を配置する前に、前記プロセスチャンバの処理温度を200℃から600℃に設定することを更に含、請求項13に記載の方法。 13. The method of claim 13, further comprising setting the processing temperature of the process chamber from 200 ° C. to 600 ° C. prior to placing the substrate on the substrate support pedestal. 前記第1の保護膜が第1の材料を含み、前記第2の保護膜が第2の材料を含み、前記第1の材料は前記第2の材料と同じである、請求項8に記載の方法。 8. The first protective film comprises a first material, the second protective film comprises a second material, and the first material is the same as the second material, claim 8. Method. 前記プロセスチャンバの複数の前記複数の内部構成要素がステンレス鋼を含む、請求項8に記載の方法。 8. The method of claim 8, wherein the plurality of internal components of the process chamber comprises stainless steel. 前記少なくとも1つのガスがフッ素を含む、請求項8に記載の方法。 The method of claim 8, wherein the at least one gas comprises fluorine. 前記保護膜を形成する前に、前記プロセスチャンバを1トルから600トルの圧力に加圧することを更に含む、請求項13に記載の方法。 13. The method of claim 13, further comprising pressurizing the process chamber to a pressure of 1 to 600 torr prior to forming the protective film. 前記基板支持ペデスタル上に前記基板を配置する前は、前記プロセスチャンバのプロセス圧力が1バールから250バールである、請求項13に記載の方法。 13. The method of claim 13, wherein the process pressure of the process chamber is 1 bar to 250 bar prior to placing the substrate on the substrate support pedestal.
JP2020567106A 2018-06-01 2019-04-29 In-situ CVD and ALD coating of chambers to control metal contamination Active JP7391047B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862679410P 2018-06-01 2018-06-01
US62/679,410 2018-06-01
US16/383,354 2019-04-12
US16/383,354 US10704141B2 (en) 2018-06-01 2019-04-12 In-situ CVD and ALD coating of chamber to control metal contamination
PCT/US2019/029602 WO2019231599A1 (en) 2018-06-01 2019-04-29 In-situ cvd and ald coating of chamber to control metal contamination

Publications (3)

Publication Number Publication Date
JP2021526585A JP2021526585A (en) 2021-10-07
JPWO2019231599A5 true JPWO2019231599A5 (en) 2022-05-06
JP7391047B2 JP7391047B2 (en) 2023-12-04

Family

ID=68692839

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020567106A Active JP7391047B2 (en) 2018-06-01 2019-04-29 In-situ CVD and ALD coating of chambers to control metal contamination

Country Status (6)

Country Link
US (1) US10704141B2 (en)
JP (1) JP7391047B2 (en)
KR (1) KR20210005961A (en)
CN (1) CN112154534A (en)
TW (1) TWI821285B (en)
WO (1) WO2019231599A1 (en)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (en) 2017-08-18 2023-10-31 应用材料公司 High-pressure high-temperature annealing chamber
JP6597740B2 (en) * 2017-08-30 2019-10-30 東京エレクトロン株式会社 Film forming method and film forming apparatus
JP7274461B2 (en) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド Apparatus and method for manufacturing semiconductor structures using protective barrier layers
CN117936420A (en) 2017-11-11 2024-04-26 微材料有限责任公司 Gas delivery system for high pressure processing chamber
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
JP2021503714A (en) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Capacitor system for high pressure processing system
CN111448640A (en) 2017-12-07 2020-07-24 朗姆研究公司 Oxidation protection layer in room conditioning
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
KR20230079236A (en) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (en) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 Methods for Etching Structures for Semiconductor Applications
JP2022507390A (en) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド Membrane deposition using enhanced diffusion process
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
KR20220139356A (en) * 2020-02-07 2022-10-14 램 리써치 코포레이션 In situ surface coating of process chambers
CN111344852B (en) * 2020-02-10 2021-08-31 长江存储科技有限责任公司 Metal contamination testing device and method
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN113823546A (en) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 Reaction cavity and processing method thereof
US20230215703A1 (en) * 2020-06-23 2023-07-06 Lam Research Corporation Sealing surfaces of components used in plasma etching tools using atomic layer deposition
EP3954804A1 (en) * 2020-08-14 2022-02-16 Siltronic AG Device and method for depositing a layer of semiconductor material on a substrate wafer
KR102549555B1 (en) * 2021-02-26 2023-06-29 (주)포인트엔지니어링 Part for Process Chamber and Protective Layer Processing Machine
JP2022143281A (en) * 2021-03-17 2022-10-03 キオクシア株式会社 Substrate processing apparatus and substrate processing method
KR20240011180A (en) * 2021-06-04 2024-01-25 도쿄엘렉트론가부시키가이샤 substrate processing device
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
CN114086248A (en) * 2021-11-25 2022-02-25 华虹半导体(无锡)有限公司 Method for cleaning chamber of epitaxial equipment

Family Cites Families (232)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (en) 1987-07-27 1995-05-24 富士通株式会社 Plasma processing device
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (en) 1989-04-10 1998-03-25 忠弘 大見 Tungsten film forming equipment
US5085727A (en) * 1990-05-21 1992-02-04 Applied Materials, Inc. Plasma etch apparatus with conductive coating on inner metal surfaces of chamber to provide protection from chemical corrosion
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH05129296A (en) 1991-11-05 1993-05-25 Fujitsu Ltd Method of flatting conductive film
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
KR100251341B1 (en) 1995-05-08 2000-05-01 오카노 사다오 Optical waveguide manufacturing method
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (en) 1996-03-01 1998-04-30 히가시 데츠로 Substrate drying apparatus and substrate drying method
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
JP3400293B2 (en) * 1996-05-01 2003-04-28 株式会社東芝 CVD apparatus and cleaning method thereof
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) * 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
TW460943B (en) * 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (en) 1997-11-18 2001-08-13 日本電気株式会社 Method of forming interlayer insulating film and insulating film forming apparatus
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
DE69940114D1 (en) 1999-08-17 2009-01-29 Applied Materials Inc Surface treatment of carbon-doped SiO 2 films to increase the stability during O 2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (en) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd Apparratus for continuously manufacturing method of semiconductor element
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (en) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc Equipment and method for treating substrate
JP4703810B2 (en) * 2000-03-07 2011-06-15 東京エレクトロン株式会社 CVD film forming method
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
DE10111972A1 (en) * 2001-03-13 2002-10-02 Siemens Ag End device for IP telephony
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (en) 2001-03-22 2009-09-30 株式会社荏原製作所 Method and apparatus for adjusting gas circulation rate of vacuum exhaust device
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (en) 2001-08-03 2003-02-21 Kobe Steel Ltd High-pressure processing apparatus
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (en) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk Apparatus and method for performing high pressure anneal steam treatment
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US7658973B2 (en) 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (en) 2003-03-07 2007-08-08 東京エレクトロン株式会社 Method for forming tungsten film
JP2005005065A (en) * 2003-06-10 2005-01-06 Kunihide Tachibana Plasma treatment method and plasma treatment device
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (en) 2003-08-13 2008-10-29 株式会社神戸製鋼所 High pressure processing method
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
WO2005098922A1 (en) * 2004-03-31 2005-10-20 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method
JP4393268B2 (en) 2004-05-20 2010-01-06 株式会社神戸製鋼所 Drying method of fine structure
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7119032B2 (en) * 2004-08-23 2006-10-10 Air Products And Chemicals, Inc. Method to protect internal components of semiconductor processing equipment using layered superlattice materials
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
WO2006091588A2 (en) 2005-02-22 2006-08-31 Xactix, Inc. Etching chamber with subchamber
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
JP4720266B2 (en) * 2005-04-08 2011-07-13 東京エレクトロン株式会社 Film forming method, film forming apparatus, and computer program
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
WO2006131153A1 (en) 2005-06-10 2006-12-14 Obducat Ab Pattern replication with intermediate stamp
JP4747693B2 (en) 2005-06-28 2011-08-17 住友電気工業株式会社 Method for forming resin body, method for forming structure for optical waveguide, and method for forming optical component
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (en) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. Substrate processing equipment, cooling gas supply nozzle and method for fabricating semiconductor device
JP4628900B2 (en) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ Plasma processing equipment
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (en) 2005-09-13 2007-03-20 한국전자통신연구원 Optical waveguide master and manufacture method of the same
CN102109626A (en) 2005-10-07 2011-06-29 株式会社尼康 Minute optical element
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) * 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (en) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc Substrate treatment apparatus
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (en) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd High pressure treating device
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (en) 2007-01-31 2008-08-21 Tokyo Electron Ltd Substrate processing apparatus
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (en) 2007-03-31 2013-02-06 東京エレクトロン株式会社 Trap device, exhaust system and treatment system using the same
KR101442238B1 (en) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 Method of manufacturing Semiconductor Device by using High-Pressure Oxygen Annealing
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (en) 2008-03-31 2011-11-16 株式会社日立製作所 Fine structure transfer stamper and fine structure transfer apparatus
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (en) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (en) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd Method of manufacturing semiconductor device
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (en) 2009-06-22 2010-02-17 富士フイルム株式会社 THIN FILM TRANSISTOR AND METHOD FOR PRODUCING THIN FILM TRANSISTOR
KR20110000960A (en) 2009-06-29 2011-01-06 삼성전자주식회사 Semiconductor chip, stack module, memory card, and method of fabricating the same
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (en) 2009-09-16 2011-03-31 Bridgestone Corp Photocurable transfer sheet and method for forming recessed and projected pattern using same
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (en) 2009-11-20 2016-08-03 株式会社半导体能源研究所 The method being used for producing the semiconductor devices
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
US8304351B2 (en) 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
KR101775608B1 (en) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
CN101871043B (en) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 Steam generator of annealing furnace and control method thereof
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (en) 2010-08-30 2012-03-08 Toshiba Corp Supercritical drying method and supercritical drying system
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (en) 2011-03-18 2015-11-10 東京エレクトロン株式会社 Gate valve apparatus, substrate processing apparatus and substrate processing method thereof
JP5450494B2 (en) 2011-03-25 2014-03-26 株式会社東芝 Supercritical drying method for semiconductor substrates
KR20140027917A (en) 2011-03-25 2014-03-07 이서영 Lightwave circuit and method for manufacturing same
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
JP6048400B2 (en) 2011-03-30 2016-12-21 大日本印刷株式会社 Supercritical drying apparatus and supercritical drying method
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (en) 2011-05-30 2017-02-22 株式会社東芝 Substrate processing method, substrate processing apparatus, and storage medium
WO2012165377A1 (en) 2011-05-30 2012-12-06 東京エレクトロン株式会社 Method for treating substrate, device for treating substrate and storage medium
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
KR101568748B1 (en) 2011-11-01 2015-11-12 가부시키가이샤 히다치 고쿠사이 덴키 Production method for semiconductor device, production device for semiconductor device, and storage medium
JP5712902B2 (en) 2011-11-10 2015-05-07 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP2013122493A (en) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The Optical branching element and optical branching circuit
JP2013154315A (en) 2012-01-31 2013-08-15 Ricoh Co Ltd Thin film forming apparatus, thin film forming method, electro-mechanical transducer element, liquid ejecting head, and inkjet recording apparatus
CN104106128B (en) 2012-02-13 2016-11-09 应用材料公司 Method and apparatus for the selective oxidation of substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (en) 2012-06-27 2013-01-22 (주)이노시티 Apparatus for process chamber
KR20140003776A (en) 2012-06-28 2014-01-10 주식회사 메카로닉스 Preparation of a high resistivity zno thin film
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (en) 2012-07-19 2014-02-03 Tokyo Electron Ltd Method of depositing tungsten film
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (en) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 Semiconductor structure comprising aluminum nitride oxide film on germanium layer and method for manufacturing the same
KR102002782B1 (en) 2012-09-10 2019-07-23 삼성전자주식회사 Method of manufacturing for Semiconductor device using expandable material
JP2014060256A (en) 2012-09-18 2014-04-03 Tokyo Electron Ltd Processing system
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
JP2014141739A (en) 2012-12-27 2014-08-07 Tokyo Electron Ltd Film deposition method of manganese metal film, processing system, production method of electronic device and electronic device
KR20140090445A (en) * 2013-01-09 2014-07-17 삼성디스플레이 주식회사 Substrate processing apparatus
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (en) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 Gas Phase Etcher Apparatus
KR20140106977A (en) 2013-02-27 2014-09-04 삼성전자주식회사 Metal oxide semiconductor Thin Film Transistors having high performance and methods of manufacturing the same
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
JP2014192484A (en) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc Semiconductor device manufacturing method and substrate processing apparatus
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
JP6068633B2 (en) 2013-05-31 2017-01-25 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and furnace mouth cover
JP6196481B2 (en) 2013-06-24 2017-09-13 株式会社荏原製作所 Exhaust gas treatment equipment
KR101542803B1 (en) 2013-07-09 2015-08-07 주식회사 네오세미텍 Vacuum chamber with purge apparatus of high temperature and high pressure injection type and cleaning method using it
KR20150000384U (en) * 2013-07-16 2015-01-26 씨에스텍 주식회사 Semiconductor parts
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (en) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 Method for depositing tungsten film with tungsten hexafluoride(wf6) etchback
US9548200B2 (en) 2013-08-21 2017-01-17 Applied Materials, Inc. Variable frequency microwave (VFM) processes and applications in semiconductor thin film fabrications
JP6226648B2 (en) 2013-09-04 2017-11-08 昭和電工株式会社 Method for manufacturing SiC epitaxial wafer
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (en) 2013-10-24 2017-05-17 信越化学工業株式会社 Superheated steam treatment equipment
US9745658B2 (en) * 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9873940B2 (en) 2013-12-31 2018-01-23 Lam Research Corporation Coating system and method for coating interior fluid wetted surfaces of a component of a semiconductor substrate processing apparatus
CN103745978B (en) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 Display device, array base palte and preparation method thereof
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (en) 2014-04-23 2015-11-25 주식회사 풍산 Method of forming spin on glass type insulation layer using high pressure annealing
CN104047676A (en) 2014-06-14 2014-09-17 马根昌 Improved opposite impact silencer
CN104089491B (en) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 The waste heat recycling system of annealing furnace
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (en) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 Compositions and methods using same for deposition of silicon-containing films
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
CN107406978B (en) 2015-02-06 2019-12-03 弗萨姆材料美国有限责任公司 Compositions for carbon-doped silicon-containing films and methods of using the same
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (en) 2015-05-15 2016-12-02 세메스 주식회사 method and Apparatus for Processing Substrate
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (en) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 Method for fabricating nanowires for horizontal gate all-around devices for semiconductor applications
US10109464B2 (en) * 2016-01-11 2018-10-23 Applied Materials, Inc. Minimization of ring erosion during plasma processes
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (en) 2016-03-02 2017-11-29 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and program
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (en) 2016-06-14 2019-12-21 美商應用材料股份有限公司 Oxidative volumetric expansion of metals and metal containing compounds
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
WO2018064292A1 (en) 2016-09-30 2018-04-05 Applied Materials, Inc. Methods of forming self-aligned vias
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
KR20190137967A (en) 2017-06-02 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 Improving Quality of Films Deposited on Substrate
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Similar Documents

Publication Publication Date Title
JPWO2019231599A5 (en)
TWI821285B (en) Methods of processing substrates and methods of protecting process chambers
US7232492B2 (en) Method of forming thin film for improved productivity
TW200741831A (en) Method of manufacturing semiconductor device
TWI469242B (en) Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus and recording medium
JP6995856B2 (en) Semiconductor manufacturing parts including SiC thin-film deposition layer and its manufacturing method
JP2004088077A (en) Member for processing semiconductor wafer
JP2013546169A5 (en)
JP2009536459A5 (en)
TW201701327A (en) Substrate processing apparatus, and storage medium
US10026633B2 (en) Wafer boat and manufacturing method of the same
JP6325057B2 (en) Manufacturing method of semiconductor device
JP2007531997A5 (en)
TW522560B (en) Method of forming gate oxide layer in semiconductor device
JP2001308032A (en) METHOD AND APPARATUS FOR DEPOSITING LOW RESIDUAL HALOGEN CONTENT TiN FILM WITH THICKNESS EXCEEDING 1,000 ANGSTROM
JP2004343094A5 (en)
TW201907035A (en) Sic wafer production method, epitaxial wafer production method, and epitaxial wafer
KR100706810B1 (en) Cleaning of a thin film deposition apparatus forming of a thin film using the cleaning
KR20160062370A (en) Method of fabricating semiconductor device
TWI719768B (en) Method of growing doped group iv materials
JP3804913B2 (en) Semiconductor device manufacturing method and semiconductor device manufacturing apparatus
US8822348B2 (en) Dummy wafer structure and method of forming the same
JP5652926B2 (en) Method for forming gate insulating film and method for manufacturing semiconductor device
TW202039915A (en) Substrate for MOCVD and method for growing buffer layer on substrate which greatly improves the production efficiency of semiconductor elements
JP7413768B2 (en) Method for manufacturing polycrystalline substrate