JPWO2019182913A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2019182913A5
JPWO2019182913A5 JP2020550765A JP2020550765A JPWO2019182913A5 JP WO2019182913 A5 JPWO2019182913 A5 JP WO2019182913A5 JP 2020550765 A JP2020550765 A JP 2020550765A JP 2020550765 A JP2020550765 A JP 2020550765A JP WO2019182913 A5 JPWO2019182913 A5 JP WO2019182913A5
Authority
JP
Japan
Prior art keywords
module
workpiece
data
processing
manufacturing platform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020550765A
Other languages
English (en)
Japanese (ja)
Other versions
JP2021518674A (ja
JP7348440B2 (ja
Publication date
Application filed filed Critical
Priority claimed from PCT/US2019/022608 external-priority patent/WO2019182913A1/en
Publication of JP2021518674A publication Critical patent/JP2021518674A/ja
Publication of JPWO2019182913A5 publication Critical patent/JPWO2019182913A5/ja
Application granted granted Critical
Publication of JP7348440B2 publication Critical patent/JP7348440B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

JP2020550765A 2018-03-20 2019-03-15 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法 Active JP7348440B2 (ja)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201962787607P 2019-01-02 2019-01-02
US201962787608P 2019-01-02 2019-01-02
US62/787,608 2019-01-02
US62/787,607 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04
PCT/US2019/022608 WO2019182913A1 (en) 2018-03-20 2019-03-15 Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Publications (3)

Publication Number Publication Date
JP2021518674A JP2021518674A (ja) 2021-08-02
JPWO2019182913A5 true JPWO2019182913A5 (zh) 2022-03-23
JP7348440B2 JP7348440B2 (ja) 2023-09-21

Family

ID=67983243

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020550765A Active JP7348440B2 (ja) 2018-03-20 2019-03-15 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法

Country Status (7)

Country Link
US (9) US10916472B2 (zh)
JP (1) JP7348440B2 (zh)
KR (3) KR20200123480A (zh)
CN (1) CN112074940A (zh)
SG (1) SG11202009105YA (zh)
TW (5) TW202004993A (zh)
WO (2) WO2019182913A1 (zh)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016123865A1 (de) * 2016-12-08 2018-06-14 Schott Ag Verfahren zum Weiterverarbeiten eines Glasrohr-Halbzeugs einschließlich einer thermischen Umformung
DE102016124833A1 (de) 2016-12-19 2018-06-21 Schott Ag Verfahren zum Herstellen eines Hohlglasprodukts aus einem Glasrohr-Halbzeug mit Markierungen, sowie Verwendungen hiervon
WO2019146456A1 (ja) * 2018-01-26 2019-08-01 東京エレクトロン株式会社 基板処理装置
WO2019182913A1 (en) 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
WO2020006087A1 (en) * 2018-06-27 2020-01-02 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
KR102635828B1 (ko) 2018-09-20 2024-02-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11681929B2 (en) * 2018-10-02 2023-06-20 Honeywell International Inc. Methods and systems for predicting a remaining useful life of a component using an accelerated failure time model
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
US11366457B1 (en) * 2018-11-16 2022-06-21 On-Time.Ai, Inc. Controling operation of machine tools using artificial intelligence
JP7304692B2 (ja) * 2018-12-13 2023-07-07 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
US20220144282A1 (en) * 2019-03-27 2022-05-12 Mitsubishi Electric Corporation Vehicle control calculation device, vehicle control apparatus, and vehicle control calculation method
US11156991B2 (en) 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
JP6956147B2 (ja) * 2019-07-23 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20210103221A1 (en) * 2019-10-08 2021-04-08 International Business Machines Corporation Tool control using multistage lstm for predicting on-wafer measurements
JP2023507105A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド チャンバ部品の表面プロファイリング及びテクスチャリング
TWI740313B (zh) * 2019-12-18 2021-09-21 新加坡商鴻運科股份有限公司 虛擬量測方法、裝置及電腦可讀存儲介質
KR20210081729A (ko) 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
US20210202244A1 (en) * 2019-12-30 2021-07-01 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
WO2021150524A1 (en) 2020-01-22 2021-07-29 Applied Materials, Inc. In-line monitoring of oled layer thickness and dopant concentration
US11889740B2 (en) 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11415971B2 (en) * 2020-02-10 2022-08-16 Globalwafers Co., Ltd. Systems and methods for enhanced wafer manufacturing
JP7458097B2 (ja) * 2020-02-28 2024-03-29 ナノトロニクス イメージング インコーポレイテッド 工場制御システムをインテリジェントにエミュレートし、応答データをシミュレートするための方法、システム及び装置
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
EP4118512A4 (en) * 2020-03-09 2024-04-03 Nanotronics Imaging Inc SYSTEMS, PROCESSES AND SUPPORTS FOR MANUFACTURING PROCESSES
US11939665B2 (en) * 2020-03-10 2024-03-26 Tokyo Electron Limted Film thickness measuring apparatus and film thickness measuring method, and film forming system and film forming method
US11221300B2 (en) 2020-03-20 2022-01-11 KLA Corp. Determining metrology-like information for a specimen using an inspection tool
KR20220132604A (ko) 2020-03-30 2022-09-30 주식회사 히타치하이테크 진단 시스템
JP7262914B2 (ja) * 2020-03-31 2023-04-24 株式会社日立ハイテク 荷電粒子線装置
JP7230877B2 (ja) * 2020-04-20 2023-03-01 株式会社Sumco エピタキシャルウェーハの製造システム及びエピタキシャルウェーハの製造方法
US11450506B2 (en) * 2020-04-24 2022-09-20 Tel Manufacturing And Engineering Of America, Inc. Pattern enhancement using a gas cluster ion beam
TWI724888B (zh) * 2020-05-05 2021-04-11 崑山科技大學 磁浮系統之深度學習比例微分控制方法
TWI718945B (zh) * 2020-05-12 2021-02-11 國立彰化師範大學 主動式恆定施力感測控制系統
CN111614587B (zh) * 2020-05-25 2021-04-06 齐鲁工业大学 一种基于自适应集成深度学习模型的sc-fde系统信号检测方法
EP4160660A4 (en) * 2020-06-01 2024-03-20 Shinetsu Handotai Kk METHOD FOR EVALUATION OF THE OUTER PERIPHERAL DISTORTION OF A WAFER
KR20230022216A (ko) * 2020-06-12 2023-02-14 미합중국 (관리부서 : 미합중국 해군성) Iii-n 디바이스 성능 및 수율을 평가하기 위한 표면 프로파일 매핑
JPWO2021255784A1 (zh) * 2020-06-15 2021-12-23
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
TW202229581A (zh) * 2020-08-28 2022-08-01 日商東京威力科創股份有限公司 成膜裝置、及具有含矽的膜之部件的製造方法
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process
US20220178845A1 (en) * 2020-12-07 2022-06-09 Nanya Technology Corporation Electronic system and method of specimen qualification
US20220199544A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Cap structure for interconnect dielectrics and methods of fabrication
CN112579512B (zh) * 2020-12-24 2023-04-18 中国航空工业集团公司西安航空计算技术研究所 一种机载嵌入式智能微处理系统
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
CN112750738B (zh) * 2021-01-18 2024-02-23 中国电子科技集团公司第四十八研究所 一种离子束刻蚀设备及其刻蚀方法
CN112420543B (zh) * 2021-01-22 2021-04-16 山东元旭光电股份有限公司 一种晶圆自动检测线
US20220236051A1 (en) * 2021-01-25 2022-07-28 Changxin Memory Technologies, Inc. Method for detecting etching defects of etching equipment
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US20220258304A1 (en) * 2021-02-16 2022-08-18 Applied Materials, Inc. Methods and apparatus for processing a substrate
TW202242958A (zh) * 2021-03-02 2022-11-01 日商東京威力科創股份有限公司 資料收集系統、資料收集裝置、資料收集方法及資料收集程式
JP2022133631A (ja) * 2021-03-02 2022-09-14 株式会社東京精密 パーティクル計測装置、三次元形状測定装置、プローバ装置、パーティクル計測システム及びパーティクル計測方法
TWI787757B (zh) * 2021-03-15 2022-12-21 高聖精密機電股份有限公司 智能加工系統及其加工方法
JP7366952B2 (ja) * 2021-03-23 2023-10-23 芝浦メカトロニクス株式会社 プラズマ処理装置の検査方法
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
TWI788855B (zh) * 2021-05-25 2023-01-01 旺宏電子股份有限公司 偵測系統
KR102545754B1 (ko) * 2021-05-27 2023-06-20 세메스 주식회사 기판 처리 장치 및 기판 상태 검출 방법
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using
TWI819318B (zh) * 2021-06-17 2023-10-21 台達電子工業股份有限公司 機台監控裝置以及方法
CN113253037B (zh) * 2021-06-22 2021-10-08 北京赛博联物科技有限公司 基于电流波纹的边云协同设备状态监测方法及系统、介质
CN115599620A (zh) * 2021-06-28 2023-01-13 深圳富桂精密工业有限公司(Cn) 监控告警方法及终端设备
CN113538392B (zh) * 2021-07-26 2022-11-11 长江存储科技有限责任公司 晶圆的检测方法、设备及存储介质
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
US11966682B2 (en) 2021-07-30 2024-04-23 International Business Machines Corporation Fast independent checker for extreme ultraviolet (EUV) routing
US11983476B2 (en) * 2021-07-30 2024-05-14 International Business Machines Corporation Technology-independent line end routing
IL310738A (en) * 2021-08-26 2024-04-01 Asml Netherlands Bv A method for determining a measuring recipe and related devices
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
CN113705487B (zh) * 2021-08-31 2023-08-08 西南交通大学 精密工件识别及工艺参数关联系统以及识别方法
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot
US20230080707A1 (en) * 2021-09-13 2023-03-16 Advanced Energy Industries, Inc. Model reference adaptive control with signum projection tensor operations
US11866831B2 (en) 2021-11-09 2024-01-09 Tokyo Electron Limited Methods for wet atomic layer etching of copper
JP7200346B1 (ja) 2021-12-22 2023-01-06 Sppテクノロジーズ株式会社 プログラム、情報処理方法、情報処理装置及びモデルの生成方法
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers
TWI819578B (zh) * 2022-04-20 2023-10-21 國立中央大學 多目標參數最佳化系統、方法及電腦程式產品
US20230341841A1 (en) * 2022-04-24 2023-10-26 Applied Materials, Inc. Bayesian decomposition for mismatched performances in semiconductor equipment
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
US20230378006A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. In-situ integrated wafer parameter detection system
CN114817077B (zh) * 2022-06-27 2022-09-06 云账户技术(天津)有限公司 测试质量及规范的判断方法、系统和网络设备
WO2024091865A1 (en) * 2022-10-24 2024-05-02 Ohio State Innovation Foundation Method for backward failure propagation in conceptual system design

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US6203582B1 (en) * 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5963315A (en) * 1997-08-18 1999-10-05 Motorola, Inc. Method and apparatus for processing a semiconductor wafer on a robotic track having access to in situ wafer backside particle detection
JPH11307604A (ja) * 1998-04-17 1999-11-05 Toshiba Corp プロセスモニタ方法及びプロセス装置
US6830942B1 (en) * 1999-04-06 2004-12-14 Lucent Technologies Inc. Method for processing silicon workpieces using hybrid optical thermometer system
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
TWI275436B (en) * 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US20040126482A1 (en) * 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
EP2041774A2 (en) 2006-07-03 2009-04-01 Applied Materials, Inc. Cluster tool for advanced front-end processing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP2009064726A (ja) 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板検査装置及び基板検査方法並びに記憶媒体
US20090112520A1 (en) * 2007-10-30 2009-04-30 Applied Materials, Inc. Self-aware semiconductor equipment
JP4784599B2 (ja) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
US7902613B1 (en) * 2008-01-28 2011-03-08 Cadence Design Systems, Inc. Self-alignment for semiconductor patterns
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US8190543B2 (en) 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
JP6079200B2 (ja) * 2012-05-16 2017-02-15 東京エレクトロン株式会社 クーリング機構及び処理システム
US20140196749A1 (en) * 2013-01-15 2014-07-17 Applied Materials, Inc. Cryogenic liquid cleaning apparatus and methods
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
WO2015038309A1 (en) * 2013-09-16 2015-03-19 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9059257B2 (en) * 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US20150118012A1 (en) * 2013-10-31 2015-04-30 Lam Research Corporation Wafer entry port with gas concentration attenuators
EP3102715A1 (en) * 2014-02-04 2016-12-14 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9287386B2 (en) 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
JP5993496B2 (ja) * 2014-07-16 2016-09-14 株式会社神戸製鋼所 酸化物半導体薄膜、及び前記酸化物半導体薄膜の表面に保護膜を有する積層体の品質評価方法、及び酸化物半導体薄膜の品質管理方法
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US10510566B2 (en) * 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
WO2017105447A1 (en) 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US20170256449A1 (en) * 2016-03-07 2017-09-07 Globalfoundries Inc. Methods of forming conductive structures with different material compositions in a metallization layer
US10020204B2 (en) 2016-03-10 2018-07-10 Applied Materials, Inc. Bottom processing
US10192775B2 (en) * 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US10354912B2 (en) 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US9748169B1 (en) * 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
US9847252B2 (en) * 2016-04-12 2017-12-19 Applied Materials, Inc. Methods for forming 2-dimensional self-aligned vias
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US10438825B2 (en) * 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
KR102331718B1 (ko) * 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
US10600688B2 (en) * 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10446659B2 (en) * 2017-10-13 2019-10-15 Globalfoundries Inc. Negative capacitance integration through a gate contact
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
WO2020006087A1 (en) * 2018-06-27 2020-01-02 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer

Similar Documents

Publication Publication Date Title
JPWO2019182913A5 (zh)
JP4601492B2 (ja) 生産工程の品質予測システムおよびその方法
US8812266B2 (en) Abnormality determination system and abnormality determination method for processing apparatus
JP6890382B2 (ja) 生産システム
JP3870052B2 (ja) 半導体装置の製造方法及び欠陥検査データ処理方法
TWI412906B (zh) 具有虛擬量測功能的製造執行系統與製造系統
KR101708078B1 (ko) 플라즈마 챔버의 검정을 위한 에칭 레이트 균일성을 예측하는 방법 및 장치
US11556117B2 (en) Real-time anomaly detection and classification during semiconductor processing
Zhang et al. Fault detection strategy based on weighted distance of $ k $ nearest neighbors for semiconductor manufacturing processes
CN108829933A (zh) 一种半导体制造设备的预测性维护与健康管理的方法
JP2008004641A (ja) 不良検出システム、不良検出方法及びプログラム
TW202205040A (zh) 學習完成的模型生成方法、異常主要原因推定裝置、基板處理裝置、學習完成的模型、異常主要原因推定方法、學習方法、學習裝置以及學習資料製作方法
JP2006146459A (ja) 半導体デバイスの製造方法および製造システム
KR20230104540A (ko) 반도체 제작 장비를 위한 예측 유지 보수
CN113609790A (zh) 产品虚拟量测方法及系统及装置及介质
Susto et al. An information-theory and virtual metrology-based approach to run-to-run semiconductor manufacturing control
TW202216313A (zh) 用於前線沉積診斷及控制的方法及系統
KR101735158B1 (ko) 반도체 소자의 제조 장치의 공정 모니터링 방법 및 이를 이용한 모니터링 시스템
JP3926478B2 (ja) 半導体製造方法
TW202343240A (zh) 使用時間序列感測器資料之基於隱藏式馬可夫模型的分割誤差校正在製作過程中的異常檢測
WO2023028345A1 (en) Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
WO2022186987A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
US6988225B1 (en) Verifying a fault detection result based on a process control state
TWI297506B (en) Method and system for virtual metrology prediction for quality control in semiconductor manufacture
Card et al. Run‐to‐run process control of a plasma etch process with neural network modelling