TWI813647B - 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法 - Google Patents

用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法 Download PDF

Info

Publication number
TWI813647B
TWI813647B TW108109418A TW108109418A TWI813647B TW I813647 B TWI813647 B TW I813647B TW 108109418 A TW108109418 A TW 108109418A TW 108109418 A TW108109418 A TW 108109418A TW I813647 B TWI813647 B TW I813647B
Authority
TW
Taiwan
Prior art keywords
workpiece
module
modules
metal
layer
Prior art date
Application number
TW108109418A
Other languages
English (en)
Other versions
TW202021005A (zh
Inventor
羅伯特 克拉克
坎達巴拉 泰伯利
尤凱鴻
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202021005A publication Critical patent/TW202021005A/zh
Application granted granted Critical
Publication of TWI813647B publication Critical patent/TWI813647B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32368Quality control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Automation & Control Theory (AREA)
  • Artificial Intelligence (AREA)
  • General Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Medical Informatics (AREA)
  • Robotics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Junction Field-Effect Transistors (AREA)
  • General Factory Administration (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)

Abstract

提供一種用於形成完全自對準通孔之方法。在介電層中具有特徵部圖案的工件承接進入公共製造站台。金屬蓋沉積於金屬特徵部上,且阻擋層沉積在金屬蓋上。將第一介電層添加至暴露之介電材料。移除阻擋層並於第一介電層和金屬蓋的暴露表面上添加蝕刻停止層。在蝕刻停止層之頂部上添加附加的介電材料,然後蝕刻附加介電材料和蝕刻停止層之一部分兩者以形成要用金屬材料填充的特徵部。於一或多個公共製造站台內執行整合型處理步驟之順序,以提供受控環境。傳送模組在受控環境內和之間的處理模組之間傳送工件。

Description

用於整合型頭尾相接式完全自對準內連線製程之操作站台及 方法
本發明有關處理站台及用於使用所述站台的半導體處理之方法,且更特別地是有關形成完全自對準通孔(FSAV)的方法。
[交互參考相關申請案]
本申請案主張於2018年3月20日提交的標題為“具有整合型計量之基板處理工具和使用的方法”之美國臨時申請案第62/645,685號、2019年1月2日提交的標題為“納入整合型半導體處理模組之自我感知和校正異質站台及其使用方法”的美國臨時申請案第62/787,607號、2019年1月2日提交的標題為“納入整合型半導體處理模組之自我感知和校正異質站台及其使用方法”的美國臨時申請案第62/787,608號、及2019年1月4日提交的標題為“具有整合型計量之基板處理工具和使用的方法”之美國臨時申請案第62/788,195號的權益,其全部以引用之方式併入本文中。
凹部形狀形成技術已用在電晶體晶圓上形成通孔等。尺寸收縮是積體電路處理之發展中的驅動力之其中一者。藉由減少大小尺寸,可獲得成本效益和裝置性能提升。此可擴展性於製程流程中產生不可避免的複雜性、尤其 是在圖案化技術方面。隨著製造更小之電晶體,圖案化特徵部的臨界尺寸(CD)或分辨率變得越來越難以生產、尤其是於大批量生產中。自對準圖案化需要取代疊加驅動之圖案化,以致可繼續進行有成本效益的比例縮放。在大批量製造環境中,需要能夠減少可變性、擴展比例縮放、及增強CD和製程控制之圖案化選項;然而,以合理的低成本和高產量生產按比例變化之裝置變得極其困難。
隨著裝置縮放至越來越小的特徵部,且實現技術以試圖解決源自縮放之問題,重要的是在製程流程之諸多階段監視製造過程,以決定特徵屬性是否於說明書內,且如果未於規格內,則調整製程以使工件符合規格或將後續製程的工件帶入規格內。
在傳統之通孔製作中,使用大批量製造用的多數分開之獨立工具施行所述製程。將晶圓連續地載入一工具,在所述工具中經受一製程步驟,接著移除至周圍環境並放入隊列中以加載進入下一工具中,並依此類推,直至完成通孔製作製程的多數步驟。排隊等待每一工具所花時間稱為Q-時間,而高Q-時間導致較低之生產率。製程流程中的不同操作可花費不同之時間量,使得工具的產量匹配是一生產挑戰。
此外,在傳統通孔製作中,相鄰金屬特徵部之角落到角落的距離可能太小而不能使半導體適當地操作。例如,相鄰金屬特徵部之由角落到角落的距離太小可能導致半導體在操作中短路。增加金屬特徵部角落到角落之距離允許半導體更加一致地成功操作。
製程流程中的每一工具可以是工具群組之一部分。例如,五個完全相同的蝕刻工具可與傳送工具組合在一起,以致可在製程流程之一步驟同時蝕刻5個晶圓,以實現大批量生產。如果工具因任何原因停止服務,這些群組工具的多樣性帶來益處。如果5個工具群組中之1個工具停止服務達1週,則可繼續生產,儘管只有80%的容量。如此,SAMP流程中之每一獨立工具可以是一群組 完全相同的工具,以防止服務中斷工具完全關閉生產,並可使用群組來最小化產量匹配挑戰。
另外,具有完全自對準通孔之半導體改善半導體的時間相依介電擊穿(TDDB)。TDDB是在正常操作條件(例如,電場暴露)之下擊穿介電材料的時間量之可靠性計量。可基於裝置佈局(例如,介電材料類型、介電質厚度、相對於介電材料的金屬線佈置)和操作條件(例如電壓、頻率)來最佳化TDDB性能,以維持裝置中的金屬特徵部之間的電隔離。例如,在正常操作期間重複低電平暴露於電場可在一段時間內改變介電材料之電性質。TDDB量化了介電擊穿發生的時間量。本文所敘述之完全自對準的通孔技術可藉由增加通孔和下面的金屬線之間的距離來改變佈局,從而增加TDDB。例如,FSAV技術可藉由使通孔之接觸部分變窄來增加隔離,以增加通孔和相鄰金屬線之間的介電材料量。
如此,使用多數分開之獨立工具(單個或群組式)進行大批量製造的傳統方法可導致包括但不限於Q-時間氧化(亦即,當晶圓位於工具之間等待輪到下一個工具時,它們可能受到來自周圍環境的氧化)、來自工具之間的環境暴露之缺陷率、由於產量匹配困難導致的成本挑戰、時間性工具漂移(例如,EPE)、實時腔室匹配(例如,產量和EPE)、及缺乏實時工件測量和製程控制之問題。需要解決這些和其他問題、諸如積體電路的短路,以用通孔製作技術實現大批量製造。
使用在承載複數處理模組之公共製造站台上執行的整合型處理步驟順序來提供於半導體工件上準備自對準通孔之方法,所述處理模組包括一或多個成膜模組、一或多個蝕刻模組、和一或多個傳送模組。在一實施例中,整合型處理步驟順序包括將工件承接進入公共製造站台,工件在介電層中具有 金屬特徵部的圖案,其中金屬特徵部之暴露表面和介電層的暴露表面一起界定上平面式表面。其次,使用一或多個成膜模組中之一個,相對暴露的介電材料而將金屬蓋選擇性沉積於金屬特徵部之暴露表面上。然後,使用一或多個成膜模組中的一個,相對暴露之介電材料而在金屬蓋上選擇性形成阻擋層。使用一或多個成膜模組中的一個以在介電層之暴露表面上選擇性沉積第一介電材料,以於第一介電材料中形成凹部圖案,選擇性沉積至少局部地基於第一介電材料在暴露表面上的沉積速率高於在金屬蓋上之沉積速率,凹部圖案包含側壁,所述側壁包括第一介電材料的一部分。然後,使用一或多個蝕刻模組中之一個處理工件,以於凹部圖案的底表面處暴露金屬蓋。其次,使用一或多個成膜模組中之一個在凹部圖案上方沉積蝕刻停止層。整合型的處理步驟順序在公共製造站台內的受控環境中執行,而不離開受控環境,且其中一或多個傳送模組用於在複數處理模組之間傳送工件,同時將工件維持於受控環境內。此後,可在半導體工件上形成一或多個自對準通孔、諸如使用其他模組及/或公共製造站台。
於另一實施例中,整合型處理步驟順序包括將工件承接進入公共製造站台,工件具有在介電層中之金屬特徵部的圖案,其中金屬特徵部之暴露表面和介電層的暴露表面一起界定上平面式表面。然後,使用一或多個蝕刻模組中之一個選擇性蝕刻金屬特徵部,以藉由將金屬特徵部的暴露表面凹陷在介電層之暴露表面下方而形成凹部圖案。其次,使用一或多個成膜模組中的一個在凹部圖案上方沉積蝕刻停止層。整合型的處理步驟順序在公共製造站台內的受控環境中執行,而不離開受控環境,且其中一或多個傳送模組用於在複數處理模組之間傳送工件,同時將工件維持於受控環境內。此後,可在半導體工件上形成一或多個自對準通孔、諸如使用其他模組及/或公共製造站台。
於又另一實施例中,整合型處理步驟順序包括將工件承接進入公共製造站台,工件具有在介電層中之金屬特徵部的圖案,其中金屬特徵部之暴 露表面和介電層的暴露表面一起界定上平面式表面。然後,使用一或多個成膜模組中之一個,相對介電層的暴露表面而將金屬蓋選擇性沉積在金屬特徵部之暴露表面上。其次,相對介電層的暴露表面而在金屬特徵部周圍選擇性形成介電材料的凹部圖案,使金屬蓋形成凹部圖案之底部表面,金屬蓋從溝道的頂部暴露。然後,使用一或多個成膜模組中之一個在凹部圖案上方沉積蝕刻停止層。整合型處理步驟順序於公共製造站台內的受控環境中執行,而不離開受控環境,且其中一或多個傳送模組用於在複數處理模組之間傳送工件,同時將工件維持於受控環境內。此後,可在半導體工件上形成一或多個自對準通孔、諸如使用其他模組及/或公共製造站台。
於相關實施例中,所述方法可繼續包括獲得與工件測量區域中的工件之一或多個屬性有關的實時測量資料,所述工件測量區域位在一或多個傳輸模組中之至少一個的專用區域內、或位於承載在一或多個公共製造站台上之計量模組內。並且當測量資料指示工件上存在不合格時,可實施補救措施以改善不合格。
100:工件
100’:工件
104:基板
106:下層
106’:下層
108:暴露表面
110:金屬特徵部
110’:金屬特徵部
111:襯墊層
112:金屬蓋
112’:金屬蓋
114:阻擋層
116:第一介電層
118:凹部圖案
120:蝕刻停止層
122:層間介電質
124a:通孔特徵部
124b:通孔特徵部
126:金屬
126’:金屬
128:側壁
140:光阻層
142:暴露部分
144:部分
200:製程流程
300:第一公共製造站台
302:前端模組
310:傳送模組
310a:傳送模組
310b:傳送模組
311:傳送模組
312:計量模組
312a:計量模組
312b:計量模組
312c:計量模組
312d:計量模組
320:成膜模組
320a:成膜模組
320b:成膜模組
322:主動封鎖控制系統
330:蝕刻模組
330a:蝕刻模組
330b:蝕刻模組
340:清潔模組
340a:清潔模組
340b:清潔模組
350:輔助模組
352:微影模組
354:軌道模組
360:第二公共製造站台
362:前端模組
370a:傳送模組
372a:計量模組
380:沉積模組
380a:沉積模組
380b:沉積模組
390:蝕刻模組
390a:蝕刻模組
390b:蝕刻模組
500:工件
504:基板
506:下層
508:暴露表面
510:金屬特徵部
511:襯墊層
520:蝕刻停止層
520a:成膜模組
522:層間介電膜
524a:通孔特徵部
524b:通孔特徵部
526:金屬
540:光阻層
542:暴露部分
544:部分
600:製程流程
併入本說明書中並構成其一部分的附圖繪製本發明之實施例,並且與上面所給出的本發明之一般描述、及下面所給出的詳細描述一起用於描述本發明。
圖1A-1M是概要橫截面圖,繪製完全自對準通孔形成方法之一實施例;圖2是流程圖,說明用於完全自對準通孔形成的整合型製程流程之一實施例;圖3是概要圖,說明用於施行完全自對準通孔形成方法的公共製 造站台之一實施例;圖4A-4B是比較傳統填充特徵部與完全自對準通孔特徵部的概要橫截面圖;圖5A-5K是概要橫截面圖,繪製完全自對準通孔形成方法之一實施例;及圖6是流程圖,說明用於完全自對準通孔形成的整合型製程流程之一實施例。
提出使用整合型站台進行完全自對準通孔形成的方法。然而,熟諳有關技術領域之人員將認識到,可在沒有一或多個具體細節的情況下,或利用其他替換及/或另外之方法、材料、或零組件來實踐諸多實施例。於其他情況下,未詳細示出或描述的熟知結構、材料、或操作,以避免模糊本發明之諸多實施例的態樣。
類似地,出於解釋之目的,提出特定數字、材料、及組構,以便提供對本發明之透徹理解。然而,可以在沒有特定細節的情況下實踐本發明。此外,應理解,圖式中所顯示之諸多實施例是說明性表示,並且不一定按比例繪製。於參考附圖時,相像的數字始終意指相像之零件。
遍及本說明書中對“一實施例”或“實施例”或其變形的引用意味著與實施例結合地描述之特別特徵、結構、材料、或特性,所述實施例包括在本發明的至少一實施例中,但不指示它存在於每一實施例中。如此,在整個說明書中可能出現於諸多地方之諸如“在一實施例中”或“在實施例中”的短語不一定是意指本發明之相同實施例。此外,特別特徵、結構、材料、或特性可以在一或多個實施例中以任何合適的方式組合。可包括諸多額外之層及/ 或結構,及/或可於其他實施例中省略所敘述之特徵。
另外,應理解,除非另有明確地陳述,否則“一(a)”或“一(an)”可意指“一或多個”。
將以最有助於理解本發明的方式把諸多操作依次描述為多數離散操作。然而,描述之順序不應被解釋為暗示這些操作必須依賴於順序。尤其是,這些操作不需要按照呈現的順序施行。所描述之操作能以與所描述的實施例不同之順序施行。在另外的實施例中,可以施行諸多附加操作及/或可省略所描述之操作。
如本文所使用,“基板”一詞意指並包括基底材料或在其上形成材料的構造。應理解,基板可包括單一材料、複數層不同材料、具有不同材料之區域或於它們中的不同結構之一層或多層等。這些材料可包括半導體、絕緣體、導體或其組合。例如,基板可以是半導體基板、在支撐結構上的基底半導體層、在其上形成有一或多層、結構、或區域之金屬電極或半導體基板。基板可以是傳統的矽基板或包含半導體材料層之另一大塊基板。如本文所使用,“大塊基板”一詞意味著矽晶圓及不僅包括矽晶圓,還包括絕緣體上矽(“SOI”)基板、諸如藍寶石上矽(“SOS”)基板和玻璃上矽(“SOG”)基板、於基底半導體底座上的矽外延層、及其他半導體或光電材料、諸如矽-鍺、鍺、砷化鎵、氮化鎵、和磷化銦。基板可以是已摻雜或未摻雜的。
如本文所使用,“工件”一詞意指在半導體裝置製造製程之一或多個相位期間於基板上形成的材料或層之組合物,所述工件最終在處理的最後階段包含半導體裝置。
本實施例包括用於完全自對準通孔形成之方法,其利用一或多個公共製造站台,其中在其自己的受控環境內之每一公共製造站台上施行多數處理步驟,例如,在不破壞操作之間的真空之情況下。整合型頭尾相接式站台可 以包括蝕刻模組和成膜模組,並建構來將工件從一模組傳送至另一模組,同時將工件維持於受控環境中,例如,不破壞真空或離開惰性氣體保護環境,且如此避免暴露至周圍環境。任何完全自對準的通孔形成製程可以包括在公共製造站台上施行之步驟,及整合型頭尾相接式站台將以降低的成本實現大批量製造、同時改善產量、缺陷率位準和EPE。
如本文所使用,“成膜模組”意指用於在處理室中之工件上沉積或生長膜或層的任何類型之處理工具。成膜模組可以是單一晶圓工具、批次處理工具、或半批次處理工具。作為示例而非限制,可以在成膜模組中施行的膜沉積或生長之類型包括化學蒸氣沉積、電漿增強或電漿輔助化學蒸氣沉積、原子層沉積、物理蒸氣沉積、熱氧化或氮化、高溫沉積等,且製程可以是各向同性、各向異性、保形、選擇性、覆蓋等。
如本文所使用,“蝕刻模組”意指用於去除處理室中的工件上之膜、層、殘留物、或污染物的全部或一部分之任何類型的處理工具。蝕刻模組可以是單一晶圓工具、批次處理工具、或半批次處理工具。作為示例而非限制,可以在蝕刻模組中施行蝕刻之類型包括化學氧化物去除(COR)、乾燥(電漿)蝕刻、反應離子蝕刻、使用浸沒或非浸沒技術的濕式蝕刻、原子層蝕刻、化學機械拋光、清潔、灰化、光刻等,且製程可以是各向同性、各向異性、選擇性等。
如本文所使用,“模組”大致上公共地意指具有其所有硬體及軟體之處理工具,包括處理室、基板夾具及運動機構、氣體供應和分配系統、泵送系統、電氣系統和控制器等。模組的此等細節在技術領域中是已知的,且因此於此中不再討論。
如本文所使用,如本文所使用之“受控環境”意指其中環境大氣被抽空並且用純化的惰性氣體或低壓真空環境替換之環境。真空環境遠低於大氣壓力,且通常理解為10-5托或更低、例如5×10-8托或更低。然而,受控環境可 包括處理工具內與環境空氣條件或大於大氣壓力的環境隔離之任何亞大氣壓力環境。此外,處理工具內的受控環境不需要是處理工具之每一部分內的恆定壓力或相同壓力。例如,受控環境內之壓力可以在不同時間於處理工具的每一腔室內變動,以在個別腔室內實現不同之處理條件,或當基板在腔室之間傳送時使二或更多腔室之間的壓差減至最小。
現在參考附圖,在此相像之參考數字標記遍及數個視圖的完全相同或對應零件。
圖1A-1M繪製概要橫截面圖,說明用於工件100之完全自對準通孔形成方法的一實施例。圖2是對應於圖1A-1M之方法的製程流程200之流程圖。圖3說明本發明的第一公共製造站台300以及輔助模組350和第二公共製造站台360之配置的實施例,其可使用於施行製程流程200。圖4A及4B是工件100之最終益處的繪製圖。圖2之製程流程200與圖3的第一公共製造站台300、第二公共製造站台360、及補助模組350將遍及圖1A-1M之以下連續討論進行參考,其中描述了工件100,因為它經過一系列處理步驟持續進行。
在製程流程200的操作202中且如圖1A中所示,於第一公共製造站台300中提供在下層106中具有金屬特徵部110之圖案的工件100。工件100包括定位於基板104上之金屬特徵部110和下層106的圖案。對於那些熟悉現在技術領域者,已知不同的方案,用於在基板上建立金屬特徵部110之圖案。為簡單起見,工件100描繪為於其上具有下層106的基板104,雖然可了解其上形成金屬特徵部110之結構可以是多層結構,其下層106僅為多數層中的一層。
下層106可以是氧化物層,包括氧化矽、二氧化矽、摻雜碳之氧化矽、多孔摻雜碳的氧化矽、或矽之一些其他氧化物。在多孔氧化物的情況下,可以於操作204之前施行孔隙密封製程(未示出)。替代地或另外地,下層106可以是介電層。
金屬特徵部110可包括、但不限於銅、釕、鈷、鎢或其組合。另外,襯墊層111與金屬特徵部110中的金屬材料一起包括在凹入特徵部中。襯墊層111可以包括氮化鉭,並且抑制金屬接觸下層106中之氧化物及/或介電材料。襯墊層111可以用於將金屬特徵部110中的金屬材料結合至下層106。替代地或另外地,襯墊層111可以用於防止金屬特徵部110中之金屬材料擴散進入下層106。
如圖3中所示,前端模組(FEM)302或傳送模組310a可以使用於將工件帶入第一公共製造站台300的受控環境,遍及製程流程200之至少一部分維持所述受控環境。受控環境可包括真空環境,在此製程流程200中的至少一些操作依次進行而不破壞真空、或惰性氣體大氣、或其組合。單一傳送模組可為耦接於每一處理模組或工具之間、諸如圖3中所示的傳送模組310a、310b之每一個,或單獨的傳送模組可以使用於每一工具傳送。在適當之情況下,傳送模組310a-b於此可以統稱為傳送模組310。在第一公共製造站台300上的不同處理模組需要不同之受控環境(諸如,一個模組中的不同真空壓力或真空,接著是具有惰性氣體大氣之模組),可以使用多數傳送模組310,在此傳送模組310輔助實施不同受控環境之間的過渡。雖然單一傳送模組於群組類型工具中可能是有用的,在此相同類型之處理模組定位於傳送模組周圍的圓圈中,但是多數傳送模組310可能更適合於具有不同處理模組類型之頭尾相接式站台組構中,諸如在圖3中所描述者。然而,在此的實施例並不排除利用耦接至每一處理模組之單一傳送模組的頭尾相接式站台組構、或其間之一些組構,例如,用於按順序使用的相鄰之相同類型處理模組的公共傳送模組。
前端模組302可使用於裝載工件匣盒(未示出),按順序地將工件對齊並將它們插入負載鎖定室,然後插入受控環境中之傳送模組310a,且傳送模組310a按順序地將工件載入處理模組。在第一公共製造站台300中,於操作202 中,已承接進入受控環境的工件100藉由傳送模組310a載入第一公共製造站台300上所承載之成膜模組320a或320b中。在適當的情況下,成膜模組320a、320b在本文中可統稱為成膜模組320。類似地,在適當之情況下,蝕刻模組330a、330b在本文中可統稱為蝕刻模組330。類似地,在適當的情況下,計量模組312a-d在本文中可統稱為計量模組312。類似地,在適當的情況下,清潔模組340a、340b在本文中可統稱為清潔模組340。
參考圖1B、2及3,於操作204中,在成膜模組320中,金屬蓋112選擇性沉積在金屬特徵部110之暴露表面108上方。金屬特徵部110的暴露表面108隨同下層106之上表面形成工件100的上平面式表面。金屬蓋112可包括釕、鈦、鎢、鉬、或鈷。金屬蓋112選擇性地沉積在金屬特徵部110之暴露表面108上,同時可忽略地接合至下層106的暴露表面。在一實施例中,金屬蓋112之選擇性應用可至少局部地基於金屬蓋112和介電材料(例如,下層106)之間的選擇性,且至少局部地基於金屬特徵部110上之金屬沉積速率高於介電材料上的金屬沉積速率。以此方式,在金屬沉積製程之一或多個步驟期間,金屬特徵部110的暴露表面108上之金屬厚度將比沉積於介電材料上的金屬更厚。在一些情況下,金屬特徵部110和介電材料之間的選擇性可隨著金屬蓋112厚度增加而減小,這是由於介電材料上之較大量的金屬使得介電材料上的金屬沉積速率更高。一種對抗降低之選擇性的方法是將工件暴露至金屬蝕刻製程,以在金屬蓋沉積步驟期間從介電材料中移除任何金屬。可使用半導體工業中之電漿蝕刻技術領域的任何普通技術人員所已知之製程在公共製造站台上的一或多個蝕刻模組中實施金屬蝕刻製程。
於一些實施例中,藉由對工件應用預處理以改變第一介電材料之表面終端,可改善金屬特徵部110與介電材料之間的選擇性,使得金屬特徵部110和介電材料之間的金屬蓋沉積速率中之差異高於沒有預處理的介電材料。在此 實施例中,公共製造站台可包括一或多個能夠產生氣體或電漿處理之預處理模組。
如圖3中所示,第一公共製造站台300可包括於傳送模組310a的同一側上之二完全相同的成膜模組320a、320b。另一選擇係,成膜模組320可為在傳送模組310a之相對側上。藉由鏡像站台300的兩側,可同時達成用於二工件之頭尾相接式處理,且如果一成膜模組320暫時停止服務,則站台300能繼續至少在50%容量下操作。於一些範例中,金屬蓋112相對下層106對金屬特徵部110的選擇性至少為10:1。
然後,在不離開受控環境之情況下,例如,於不破壞真空的情況下,可以使用傳送模組310a和310b將工件100傳送至成膜模組320、諸如亦承載於第一公共製造站台300上之第一成膜模組320a,例如,傳送模組310a從第一成膜模組320a移除工件100並將其傳送至傳送模組310b,其接著可將工件100重新傳送進入第一成膜模組320a或第二成膜模組320b。如果第二成膜模組320b以不同於第一成膜模組320a的參數操作、諸如不同之真空壓力,則可以在傳送模組310a和310b中對受控環境進行調整。
參見圖1C、2和3,於操作206中,在至少一成膜模組320中的金屬蓋112上方沉積阻擋層114,以覆蓋金屬蓋112。於一些範例中,阻擋層114包括自組裝單層(SAM)。阻擋層114材料對金屬蓋112具有親和力,且因此阻擋層114選擇性沉積,以相對下層106覆蓋金屬蓋112。在一些範例中,阻擋層114將金屬蓋112包圍在金屬特徵部110上方,並且可以至少局部地基於金屬蓋和介電材料之間的選擇性而以更小之數量沉積在介電材料(例如,下層106)上,且至少局部地基於金屬蓋112上的阻擋層沉積速率高於介電材料上之阻擋層沉積速率。在一實施例中,沉積速率中的差異源自對金屬蓋112具有比介電材料更高親和力之阻擋層114材料。
於一些實施例中,在某些情況下,使用電漿蝕刻或半導體製造技術領域的任何普通技術人員所已知之製程,公共製造站台可以包括蝕刻模組以移除阻擋層114。
此後,參考圖1D、2和3,於操作208中,且再次不離開受控環境、例如不破壞真空,第一介電層116選擇性沉積至下層106上。至少由於第一介電層116材料和阻擋層114材料之間的排斥相互作用,第一介電層116與阻擋層114相對於下層106之結合較少。結果,阻擋層114至少在第一介電層116的沉積期間屏蔽金屬蓋112以免暴露於第一介電層116材料。第一介電層116之沉積可以在任何成膜模組320中發生。例如,第一介電層116的沉積可以於與金屬蓋112之沉積相同的成膜模組320中發生。另一選擇係或此外,第一介電層116之沉積可以在與金屬蓋112的沉積不同之成膜模組320中發生。以至少局部地基於選擇性沉積製程在第一介電材料116中形成凹部圖案118的方式沉積第一介電層116,所述選擇性沉積製程包括二或更多沉積步驟,其中層疊介電材料以形成凹部圖案118之側壁128。例如,選擇性沉積製程可以包括二或更多沉積步驟,其在工件上施加10nm或更少的第一介電材料116。以此方式,此時至少避免回蝕第一介電層116是因為凹部圖案118至少由於阻擋層114對第一介電層116材料之排斥而自然形成。另一選擇係或此外,第一介電層的選擇性沉積至少局部地基於第一介電層116材料的沉積速率在下層106之暴露表面上比在阻擋層114上更高。每一凹部圖案118的特徵包括側壁128。側壁128包括第一介電層116材料之至少一部分。第一介電層116材料可包括氧化矽、二氧化矽、摻雜碳的氧化矽、多孔摻雜碳之氧化矽、或矽的一些其他氧化物。於一些範例中,第一介電層116材料與下層106材料相同。另一選擇係,第一介電層116是與下層106材料不同之材料。
在一些情況中,隨著第一介電材料的厚度在阻擋層114上增加,阻擋層114和第一介電材料之間的選擇性減少,影響側壁128之輪廓和台階高 度。然而,藉由將工件暴露至蝕刻製程以從阻擋層114移除介電材料,而不移除下層106上的所有第一介電材料116,可以改善選擇性。於一實施例中,藉由本技術領域中之普通技術人員所開發的蝕刻製程,可用於從阻擋層114移除第一介電材料116之各部分。在另一實施例中,蝕刻製程可用於移除第一介電材料116和阻擋層114,並暴露金屬蓋112。然而,在重新開始介電沉積製程之前,可以使用藉由薄膜沉積的技術領域之普通技術人員所開發的阻擋層沉積製程將替代阻擋層選擇性地施加至金屬蓋。
然後,於不離開受控環境之情況下,例如,在不破壞真空的情況下,可以使用傳送模組310a和310b將工件100傳送至蝕刻模組330、諸如亦承載於第一公共製造站台300上之第一蝕刻模組330a,例如,傳送模組310a從第一成膜模組320a移除工件100並將其傳送至傳送模組310b,然後可以將工件100輸送進入第一蝕刻模組330a。如果蝕刻模組330以不同於第一成膜模組320a的參數、諸如不同之真空壓力來操作,則可以在傳送模組310a和310b中對受控環境進行調整。
此後,參考圖1E、2和3,於操作210中,且再次不離開受控環境、例如不破壞真空,於蝕刻模組330中處理工件100,以從金屬蓋112移除阻擋層114。工件100的處理從金屬蓋112移除阻擋層114,在凹部圖案118之底表面處暴露金屬蓋112,如圖1E中所示。移除阻擋層114的處理可以包括回蝕處理,包括從工件100上蝕刻阻擋層114。移除阻擋層114之處理可以於蝕刻模組330的至少一個中發生。
然後,在不離開受控環境之情況下、例如於不破壞真空的情況下,可以使用傳送模組310a和310b,以將工件100傳送至成膜模組320、諸如亦承載於第一公共製造站台300上之第一成膜模組320a,例如,傳送模組310a將工件100從第一蝕刻模組330a移除並將其傳送至傳送模組310b,其接著可將工件 100輸送進入第一成膜模組320a或至第二成膜模組320b。如果成膜模組320以不同於蝕刻模組330的參數、諸如不同之真空壓力操作,則可以在傳送模組310a和310b中對受控環境進行調整。
此後,參考圖1F、2和3,於操作212中,且再次不離開受控環境、例如不破壞真空,使用一或多個成膜模組320在凹部圖案118特徵部上方沉積蝕刻停止層120。蝕刻停止層120可以包括具有金屬的氮化膜(例如氮化鉭)、或介電材料(例如氮化矽)。蝕刻停止層120的沉積可以在與操作204、操作206、操作208、或其任何組合相同之成膜模組中施行。
然後,於不離開受控環境的情況下、例如在不破壞真空之情況下,可以使用傳送模組310a和310b將工件100傳送至相同或不同的成膜模組320、諸如亦承載於該第一公共製造站台300上之第一成膜模組320a,例如,傳送模組310a將工件100從第一成膜模組320a移除並將其傳送至傳送模組310b,其接著可將工件100輸送進入第一成膜模組320a或至第二成膜模組320b。例如,如果第二成膜模組320b以不同於例如第一膜模組320a的參數、諸如不同之真空壓力來操作,則可以在傳送模組310a和310b中對受控環境進行調整。
此後,參考圖1G、2和3,於操作214中,且再次不離開受控環境的情況下、例如於不破壞真空之情況下,使用一或多個成膜模組320在蝕刻停止層120上方沉積介電材料的第二介電層,形成層間介電膜122。層間介電膜122可包括與第一介電層116、下層106或其任何組合相同之材料。例如,層間介電膜122可以包括矽的氧化物。層間介電膜122之沉積可以在與操作204、操作206、操作208、操作212或其任何組合相同的成膜模組中施行。
然後,於操作216中,參考圖1H、2及3,工件100傳送至輔助模組350供進一步處理。輔助模組350不在受控環境中操作。輔助模組350可以包括軌道模組354和微影模組352。工件100從第一公共製造站台300傳送至輔助模組 350,離開受控環境並且破壞第一公共製造站台300之真空。於一些範例中,工件100傳送至軌道模組354。在軌道模組354中,光阻層140旋塗至工件100的上表面上、明確地是層間介電質122之上表面上。光阻層140是光敏層並旋塗至工件100的上表面上,使得光阻層140為均勻並覆蓋工件100之上表面,如圖1H中所示。
然後,在操作218中,進一步參考圖1H、2和3,工件100傳送至微影模組352。於一些範例中,諸如圖3中所示的輔助模組350,微影模組352可以與軌道模組354共享諸如輔助模組350之共享模組。另一選擇係,微影模組352可以是與軌道模組354完全或局部分離的模組。在微影模組352中,遮罩(未示出)覆蓋部分光阻層140,使得未被遮罩所覆蓋的部分被暴露。例如,如圖1H所示,暴露部分142可以藉由遮罩保持暴露。光阻層140之暴露部分142於微影模組352中經受光。光減弱光接觸的光阻層140、亦即光阻層140之暴露部分142。遮罩覆蓋光阻層140的其餘部分,且防止除暴露部分142之外的部分在微影模組352中暴露至光。因此,藉由暴露於微影模組352中之光,僅削弱光阻層140的暴露部分142。
然後,在操作226中,參考圖1I、2和3,工件100於燒固製程中顯影。燒固製程將暴露部分142從工件100移除。如圖1I中所示,燒固製程導致暴露部分142之移除(圖1I中未示出,因為其在燒固中被移除),且暴露層間介電質122的上表面之部分144。
然後,工件100傳送回至受控環境。此受控環境可以存在於公共製造站台上。此公共製造站台可以是施行操作202-214的相同或不同之公共製造站台、亦即第一公共製造站台300。另一選擇係,工件100可傳送至第二公共製造站台360,這在此處發生。
如圖3中所示,第二公共製造站台360包括前端模組(FEM)362及/或傳送模組370a,其可用於將工件100帶入第二公共製造站台360的受控環 境,在製程流程200之至少一部分始終保持所述受控環境。受控環境可以包括真空環境,於此製程流程200中的至少一些操作在不破壞真空、或惰性氣體大氣、或其組合之情況下進行。單一傳送模組(諸如傳送模組370a)可以耦接於每一處理模組或工具之間,或分開的傳送模組可用於每一工具傳送。在第二公共製造站台360上之不同處理模組需要不同的受控環境(諸如於一模組、接著是具有惰性氣體大氣的模組中之不同真空壓力或真空)之情況下,可以使用多數傳送模組,在此傳送模組輔助於實現在不同受控環境之間的過渡。雖然單一傳輸模組於群組類型工具中可能是有用的,在此相同類型之處理模組定位於傳輸模組周圍的圓圈中,但是多述傳輸模組可能更適合於具有不同處理模組類型之頭尾相接式站台組構。
前端模組362可用來載入工件的匣盒(未示出),連續地排列工件並將它們插入負載鎖定室,然後插入受控環境中之傳送模組370a,且傳送模組370a連續地將工件裝載進入處理模組。在第二公共製造站台360中並相對於操作222,已承接進入受控環境的工件100藉由傳送模組370a裝載進入蝕刻模組390、諸如第一蝕刻模組390b,其承載於第二公共製造站台360上,而不離開受控環境、例如不破壞真空。承載於第二公共製造站台360上之蝕刻模組390a、390b在適當的情況下可於本文中統稱為蝕刻模組390。類似地,在適當之情況下,沉積模組380a、380b在本文中可統稱為沉積模組380。如果蝕刻模組390以不同於前端模組362的參數、諸如不同的真空壓力下操作,則可以在傳送模組370a中對受控環境進行調整。
此後,於操作222中,參考圖1J、2和3,且在不離開受控環境之情況下、例如不破壞真空的情況下,蝕刻層間介電膜122之暴露部分144,以形成一或多個通孔特徵部124a。藉由使用一或多個蝕刻模組330中的一個蝕刻將層間介電膜122蝕刻至蝕刻停止層120來形成通孔特徵部124a。結果,在一或多個 通孔特徵部124a之底部暴露蝕刻停止層120,如圖1J中所示。蝕刻停止層120的暴露可以用作蝕刻模組330之指示,以停止朝基板104更深地蝕刻進入工件100。
然後,於不離開受控環境的情況下、例如在不破壞真空之情況下,可以使用傳送模組370a將工件100傳送至蝕刻模組390、諸如亦承載於第二公共製造站台360上的第二蝕刻模組390b,例如,傳送模組370a從蝕刻模組390a移除工件100並將工件100輸送進入第二蝕刻模組330b。如果蝕刻模組390b以不同於蝕刻模組390a之參數、諸如不同的真空壓力操作,則可以在傳送模組370a中對受控環境進行調整。
此後,參考圖1K、及3,且再次於不離開受控環境之情況下、例如在不破壞真空的情況下,從層間介電質122之上表面、諸如在第二蝕刻模組390b內蝕刻光阻層140。結果,層間介電質122的上表面被暴露並準備用於沉積金屬特徵部材料。
此後,參考圖1L、2及3,在操作224中,且再次於不離開受控環境之情況下、例如在不破壞真空的情況下,蝕刻暴露之蝕刻停止層120以進一步形成一或多個通孔特徵部124b。藉由使用一或多個蝕刻模組390中的一個蝕刻暴露之蝕刻停止層120來形成通孔特徵部124b。結果,在一或多個通孔特徵部124b的底部暴露至少一些金屬蓋112,如圖1L中所示。金屬蓋112之暴露可以用作蝕刻模組390的指示,以停止朝基板104更深地蝕刻進入工件100。
然後,於不離開受控環境之情況下、例如在不破壞真空的情況下,可以使用傳送模組370a將工件100傳送至成膜模組380、諸如亦承載於第二公共製造站台360上之成膜模組380a或380b,例如,傳送模組370a從蝕刻模組390移除工件100並將工件100輸送進入成膜模組380a或380b。如果成膜模組380以不同於蝕刻模組390的參數、諸如不同的真空壓力操作,則可以在傳送模組370a中對受控環境進行調整。
此後,參考圖1M、2和3,於操作226中,並再次於不離開受控環境之情況下、例如,在不破壞真空的情況下,使用成膜模組320將金屬126沉積進入通孔特徵部124b。通孔特徵部124b在成膜模組320中之金屬蓋112上方填充金屬126。於一些範例中,金屬126選自由釕、鎢、鈷、銅及其組合所組成的群組。
可選地,在進一步圖案化操作之前,可對工件進行一或多個清潔製程。例如,可以於第一公共製造站台300上所承載的相同清潔模組340a、340b中施行清潔。可以使用傳送模組310,以將工件從成膜模組380傳送至例如第一公共製造站台300,且接著傳送至清潔模組340。如所顯示,傳送模組310a、310b、370a可用於進行傳送,傳送模組370a從例如成膜模組380a移除工件,以及最終將其傳送至傳送模組310b,傳送模組310b接著將工件輸送進入清潔模組340。再次,第一共用製造站台300可以包括於傳送模組310b的相同或相反側上之二完全相同的清潔模組340。應理解,第二公共製造站台360及/或輔助模組350亦可包括一或多個清潔模組,以便可以在其中施行清潔。
於一實施例中,且如下面將更詳細地討論的,第一及/或第二公共製造站台300、360有利地包括“主動封鎖系統”。如在此相對於第一公共製造站台300所顯示和討論的,主動封鎖系統包括在第一公共製造站台300上所承載之傳送模組310內的工件測量區域、或於第一公共製造站台300上所承載之整合式計量模組(未示出)。工件測量區域可以位在傳送模組310的專用區域中,如下面更詳細描述的。工件測量區域或計量模組可包括用於收集測量資料之檢查系統。如下面更詳細描述的,檢查系統可以包括用於引導入射在工件之測量表面上的光束之至少一光源、以及配置來接收從工件的測量表面所散射之光學信號的至少一偵測器。主動封鎖系統可更包括第一公共製造站台300上所承載之探測識別系統,其建構成從工件測量區域或計量模組收集資料並控制在第一公共 製造站台300上所執行的整合型處理步驟之順序、諸如作為製程流程200。
對於根據本發明的實施例之主動封鎖,工件測量區域或計量模組“即時”收集與半導體工件上的特徵部或層之屬性(例如,膜或特徵部厚度、特徵部深度、表面粗糙度、圖案移位、空隙或其他缺陷、選擇性損失、橫向過度生長、均勻性等)有關的實時資料,並使用此實時資料來同時控制在第一公共製造站台300上所承載之整合型處理模組中的整合操作變數。資料能以反饋及/或前饋方式使用,以控制於後續模組中對工件施行之操作及/或控制在後續工件上的先前模組中施行之操作,例如,如下面將參考圖2的操作250-272所說明。於一實施例中,第一公共製造站台300包括校正模組,其可以是成膜模組320、蝕刻模組330、或如適合用於對工件100施加校正動作或補救處理之其他類型的處理模組。
與傳統之計量或製程控制不同,工件不會離開第一公共製造站台300的受控環境(對於某些製程步驟),以進入獨立之計量工具,藉此最小化氧化和缺陷產生,測量是非破壞性的,使得不會犧牲工件來獲得資料,藉此最大化生產輸出,並可作為製程流程之一部分實時收集資料,以避免對生產時間產生負面影響,並且能夠在第一公共製造站台300上按順序處理工件或後續工件的製程中調整。此外,測量在成膜或蝕刻模組中不施行,藉此避免當測量裝置暴露至製程流體時之問題。例如,藉由將工件測量區域併入傳送模組,可以於工件在處理工具之間行進時獲得資料,而製程流程幾乎沒有延遲,並不暴露至製程流體,且不離開受控環境、例如沒有破壞真空。雖然“即時”資料可能不如從獨立計量工具中所施行的傳統破壞性方法獲得之資料那樣準確,但是對製程流程上的近乎即時之反饋、以及在不中斷製程流程或犧牲產量的情況下進行實時調整之能力對大批量生產非常有利。
進一步參考圖2的製程流程200,方法可以包括在整個整合型方法 中之任何諸多時間使用主動封鎖系統檢查工件、諸如施行計量、亦即獲得測量資料,而不離開第一公共製造站台300的受控環境、例如沒有破壞真空。工件之檢查可包括表徵工件的一或多個屬性並決定屬性是否滿足目標條件。例如,檢查可以包括獲得與屬性有關之測量資料,並決定缺陷、膜保形、厚度、均勻性、及/或選擇性條件是否滿足所述條件用的目標。雖然以下討論將集中於獲得測量資料,但可理解,在公共製造站台之受控環境內所施行的其他檢查技術亦於本發明之範圍內。
主動封鎖系統可以包括第一公共製造站台300上的單一計量模組或工件測量區域,或可以包括第一公共製造站台300上之多數計量模組312c、312d或工件測量區域312a、312b,如將在下面更細節討論的。每一計量操作是可選的,如圖2中之虛線所指示,但可以有利地於製程流程中的一或多個點處施行,以確保工件100在規格內,以減少缺陷率和EPE。於一實施例中,在公共製造站台上進行之整合型處理步驟順序的每一步驟之後獲得測量資料。測量資料可用於在離開公共製造站台之前於校正模組中修復工件,及/或可用於改變後續工件的整合型處理步驟順序之參數。應理解,輔助模組350及/或第二公共製造站台360可包括計量模組、例如計量模組372a,且有利地包括“主動封鎖系統”,如上所述並在下面相對於第一公共製造站台300進一步說明。
廣泛的說,在第一公共製造站台300及/或第二公共製造站台360(以及可選地輔助模組350)之受控環境內,可以於與形成完全自對準通孔相關的整合型處理步驟順序期間獲得測量資料,且基於測量資料,可決定任何施加之層或金屬蓋的厚度、寬度或輪廓是否滿足目標條件。所施加之層或金屬蓋可包括下層106、第一介電層116、第二介電層122、蝕刻停止層120、阻擋層114、金屬特徵部110、金屬蓋112、光阻層140、或其組合。當決定任何施加的層或金屬蓋之厚度、寬度或輪廓不滿足目標條件時,可以在公共製造站台上的校正模 組中處理工件100以改變側壁間隔物圖案。於一實施例中,當不滿足側壁間隔物圖案之目標厚度、寬度或輪廓時,可以藉由(i)獲得與金屬蓋及/或下層106、第一介電層116、或第二介電層122的暴露表面有關之測量資料,供用於第一驗證過程,以驗證金屬蓋完全覆蓋金屬特徵部110的暴露表面108及/或驗證下層106之暴露表面108上沒有金屬核作為污染物;(ii)獲得與金屬蓋112的屬性有關之測量資料,供用於第二驗證過程,以驗證阻擋層114被移除;(iii)獲得與選擇性地沉積在下層106的暴露表面上之第一介電層116材料的屬性有關之測量資料,供用於第三驗證過程,以驗證第一介電層116材料完全覆蓋下層106的暴露表面及/或驗證金屬蓋112之暴露表面108上不存在第一介電層116材料,及(iv)獲得與暴露於一或多個通孔特徵部124a、124b的底部之金屬蓋112的屬性有關之測量資料,供用於第四驗證過程,以驗證暴露的蝕刻停止層120被移除,而來修復側壁間隔物圖案。
在一實施例中,當於第一公共製造站台300上之成膜模組320中所施加的下層106、介電層116、層間介電膜122、金屬蓋112、阻擋層114、或蝕刻停止層120之共形性或均勻性不滿足層或金屬蓋的目標保形性或目標均勻性時,可採取校正動作來修復層或金屬蓋。修復選擇性層或金屬蓋可以藉由移除所施加之層或金屬蓋並重新施加層或金屬蓋、選擇性地施加附加層或金屬蓋、蝕刻所施加的層或金屬蓋、或其二或更多種之組合來完成。例如,工件100可以傳送至校正蝕刻模組330,以移除層或金屬蓋或局部地蝕刻層或金屬蓋,及/或工件100可以傳送至校正成膜模組320,以在移除層或金屬蓋之後重新施加層或金屬蓋,或在現有層或金屬蓋或局部蝕刻的層或金屬蓋上方施加額外之介電或金屬材料。
圖2的製程流程200現在將以可選之計量操作詳細描述。操作202包括將工件承接進入第一公共製造站台300,工件於介電層中具有金屬特徵部的 圖案,其中金屬特徵部之暴露表面和介電層的暴露表面一起界定上平坦表面。操作250包括可選地施行計量,以獲得與進來之工件的屬性有關之測量資料、諸如金屬特徵部的屬性、金屬特徵部圖案之佈局、以及其內所形成的金屬特徵部之下層,所述測量資料可用於調整及/或控制操作202-226中的任何一個之製程參數。另外,可以施行操作202-226的一或多個而無需完全或局部地在公共製造站台330上施行,使得操作202-226中所描述之頭尾相接式排序可以局部地在複數處理工具上施行。
操作204包括使用一個或多個成膜模組中的一個選擇性地將金屬蓋相對暴露之介電材料沉積於金屬特徵部的暴露表面上。操作252包括可選地施行計量,以獲得與施加有選擇性之金屬蓋的工件之屬性有關的測量資料、諸如選擇性金屬蓋之屬性、受金屬蓋沉積所影響的金屬特徵部、及/或下層,金屬特徵部如受金屬蓋沉積所影響地形成進入下層,所述測量資料可用於調整及/或控制操作206-226之任何一個的製程參數,可用於在操作202中或至操作204對後續工件進行調整至工件之進來的屬性,或可以用於在持續處理之前修復工件。在一實施例中,當測量資料指示一或多個屬性不滿足目標條件時,可以將工件傳送至校正模組,以修復選擇性施加的金屬蓋。例如,當金屬蓋之選擇性或均勻性未滿足目標選擇性或目標均勻性時,可以在一或多個校正模組中採取校正動作、諸如移除金屬蓋並重新施加金屬蓋、選擇性地施加額外的金屬蓋材料、蝕刻金屬蓋、或其二或更多種之組合。
操作206包括使用一或多個成膜模組中的一個相對暴露之介電材料在金屬蓋上選擇性地形成阻擋層。操作254包括可選地施行計量,以獲得與其上所沉積的有阻擋層之工件的屬性有關之測量資料、諸如阻擋層的屬性、受阻擋層所影響之金屬蓋、受阻擋層所影響的金屬特徵部、及/或受阻擋層所影響之下層,可以使用哪些測量資料來調整及/或控制操作208-226的任何一個之製 程參數,可以在操作202中或至操作204-206用於對後續工件進行調整至工件的進來之屬性,或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復阻擋層。例如,當阻擋層的厚度、寬度或輪廓未滿足金屬蓋之目標厚度、寬度或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加的阻擋層材料選擇性地沉積至金屬蓋上、重新成形阻擋層、蝕刻阻擋層、或其中二或更多種的組合。於一些範例中,當測量資料指示工件上存在不合格時,改善不合格之補救措施包括當超過預定的單層覆蓋閾值時移除自組裝單層、及/或當超過預定之金屬核閾值時從介電層移除金屬核。
操作208包括使用一或多個成膜模組中的一個在所述介電層之暴露表面上選擇性地沉積第一介電材料,以在所述第一介電材料中形成凹部圖案,所述選擇性沉積至少局部地基於所述第一介電材料的沉積速率高於金屬蓋上之暴露表面上的沉積速率,所述凹部圖案包含側壁,其包括第一介電材料之一部分。操作256包括可選地施行計量,以獲得與在第一介電層中具有凹部圖案的工件之屬性有關的測量資料、諸如側壁之屬性、第一介電層中的凹入特徵部之深度、阻擋層的暴露表面、及藉由第一介電層之沉積所影響的工件上之下介電層及/或第一介電層的沉積所影響之下層的覆蓋率,所述測量資料可以用於調整及/或控制操作210-226之任何一個的製程參數、可以用於在操作202中或至操作204-208將後續工件進行調整至工件之進來屬性,或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復第一介電層。例如,當第一介電層的厚度、寬度或輪廓未滿足第一介電層之目標厚度、寬度或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由選擇性沉積附加材料至下層上、蝕刻第一介電層、或其中二或更多種的組合。補救措施可包括至少局部地基於與金屬蓋之 屬性有關的測量資料從金屬蓋移除自組裝單層、及/或至少局部地基於與第一介電材料之屬性有關的測量資料從金屬蓋之暴露表面移除第一介電層。
操作210包括處理工件,以暴露在凹部圖案的底表面處之金屬蓋。操作258包括可選地施行計量,以獲得與待處理工件的屬性有關之測量資料,以使金屬蓋暴露在凹部圖案的底表面處,諸如金屬蓋之屬性、受處理所影響的阻擋層、及/或受處理所影響之下層,可以使用哪些測量資料來調整及/或控制操作212-226的任何一個之製程參數,可以用於在操作202中或至操作204-208將後續工件調整至工件的進來屬性,或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組,以修復工件的處理,以暴露在凹部圖案之底表面處的金屬蓋。例如,當凹部圖案之保形性或均勻性未滿足凹部圖案的目標保形性或目標均勻性時,可以於一或多個校正模組中採取校正動作、諸如進一步處理凹部圖案,以進一步移除阻擋層。
操作212使用一或多個成膜模組中之一個在凹部圖案上方沉積蝕刻停止層。操作260包括可選地施行計量,以獲得與其上所形成的蝕刻停止層之工件的屬性有關之測量資料、諸如其上形成有蝕刻停止層的工件之屬性、受蝕刻停止層所影響的凹部圖案、及/或受蝕刻停止層所影響之下層,測量資料可用於調整及/或控制操作214-226的任何一個之製程參數,可用於在操作202中或至操作204-210將隨後的工件調整至工件之進來屬性,或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組,以修復凹部圖案上方的蝕刻停止層。例如,當蝕刻停止層之厚度、寬度或輪廓未滿足蝕刻停止層的目標厚度、寬度或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加材料選擇性地沉積至凹部圖案上、重新成形蝕刻停止層、蝕刻所述蝕刻停止層之一部分、或其二 或更多種的組合。
操作214包括於蝕刻停止層上沉積第二介電材料,以使用一或多個成膜模組中的一個在凹部圖案上方及/或凹部圖案中形成層間介電膜。操作262包括可選地施行計量,以獲得與具有第二介電材料之工件的屬性、諸如受第二介電材料所影響之蝕刻停止層的屬性及/或受第二介電材料所影響之下層的屬性有關之測量資料,所述測量資料可用於調整及/或控制操作214的製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以修復層間介電膜。例如,當層間介電膜的厚度、寬度或輪廓未滿足層間介電膜之目標厚度、寬度或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由選擇性沉積附加的第二介電材料至蝕刻停止層上、蝕刻第二介電材料、或其二或更多種之組合。
今後,可以在與完全自對準通孔的形成有關之處理步驟順序期間持續獲得測量資料。但是在此,如果將利用第一公共製造站台300獲得測量資料,則將需要於站台300、360及/或輔助模組350之間傳送工件。在某些實施例中,預期第二公共製造站台360及/或輔助模組350可以包括它們自己的計量模組、例如計量模組372a,且有利地包括它們自己之“主動封鎖系統”。於那種情況下,例如,至少相對於第二公共製造站台360並與其自己對應的處理步驟順序相關聯,可以在受控環境內持續獲得測量資料。
操作216包括在層間介電膜上沉積光阻層。操作264包括可選地施行計量,以獲得與於其上沉積有光阻層之工件的屬性有關之測量資料、諸如受光阻層所影響的蝕刻停止層及/或受光阻層所影響之下層的屬性,所述測量資料可用於調整及/或控制操作226之製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工 件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以修復光阻層。例如,當光阻層的厚度、寬度、或輪廓未滿足層間介電膜之目標厚度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由選擇性沉積附加的光阻層材料至工件上、蝕刻光阻層、或其二或更多種之組合。
操作218包括至少將光阻層暴露至光,以減弱光阻層。操作266包括可選地施行計量,以獲得與於其上沉積有光阻層的工件之屬性、諸如受曝光所影響的光阻層之各部分的屬性有關之測量資料。此測量資料可用於調整及/或控制操作226的製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以修復光阻層。例如,當光阻層的特定部分或期望之弱點未滿足光阻層的目標特定部分或期望之弱點時,可以在一或多個校正模組中採取校正動作、諸如藉由選擇性沉積附加的光阻層材料至工件上、蝕刻光阻層、進一步將光阻層的各部分曝光、或其二或更多種之組合。
操作226包括在層間介電膜上燒固或顯影光阻層的一部分。操作268包括可選地施行計量,以獲得與於其上沉積有光阻層的工件之屬性、諸如光阻層的屬性及/或受光阻層所影響之層間介電膜的屬性有關之測量資料,所述測量資料可用於調整及/或控制操作226的製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以修復光阻層。例如,當在燒固/顯影製程中移除光阻層的各部分未滿足所移除之目標部分時,可以在一或多個校正模組中採取校正動作、諸如藉由選擇性沉積附加的光阻層材料至工件上、蝕刻光阻層、進一步 將光阻層的各部分曝光、或其二或更多種之組合。
操作222包括使用一或多個蝕刻模組中的一個蝕刻穿過層間介電質至蝕刻停止層之一或多個通孔特徵部,蝕刻停止層暴露在一或多個通孔特徵部的底部。操作270包括可選地施行計量,以獲得與經過層間介電膜具有蝕刻之通孔特徵部的工件之屬性、諸如受第二介電材料所影響的蝕刻停止層之屬性及/或受第二介電材料所影響的下層之屬性有關的測量資料,所述測量資料可用於調整及/或控制操作222之製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以修復層間介電膜。例如,當層間介電膜的厚度、寬度或輪廓未滿足層間介電膜之目標厚度、寬度或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由選擇性沉積附加的第二介電材料至蝕刻停止層上、蝕刻第二介電材料、或其二或更多種之組合。
操作224包括使用一或多個蝕刻模組中的一個蝕刻於一或多個通孔特徵部之底部處的暴露之蝕刻停止層,以暴露一或多個通孔特徵部的底部處之金屬蓋。操作272包括可選地施行計量,以獲得與經過蝕刻停止層具有蝕刻的通孔特徵部之工件的屬性、諸如受金屬蓋之暴露所影響的蝕刻停止層之屬性及/或受金屬蓋的暴露所影響的下層之屬性有關的測量資料,所述測量資料可用於調整及/或控制操作224之製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以經過蝕刻停止層修復通孔特徵部。例如,當經過蝕刻停止層的通孔特徵部之厚度、寬度、或輪廓未滿足通孔特徵部的目標深度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由蝕刻所述蝕刻停止層。
操作226包括使用一或多個成膜模組中之一個用金屬填充在金屬蓋上方的一或多個通孔特徵部。操作226可以進一步包括可選地施行計量,以獲得與已於金屬蓋上方填充有通孔特徵部之工件的屬性、諸如受填充金屬蓋上方之一或多個通孔特徵部所影響的通孔特徵部之屬性及/或受填充金屬蓋上方的一或多個通孔特徵部所影響的下層之屬性有關的測量資料,所述測量資料可用於調整及/或控制操作226之製程參數、可用於在操作202中或至操作204-226將後續工件調整至工件之進來屬性、或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可將工件傳送至校正模組,以修復通孔特徵部的填充。例如,當填充之通孔特徵部的厚度、寬度、或輪廓未滿足通孔特徵部之目標深度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將額外的金屬沉積進入通孔特徵部、蝕刻過載的填充通孔特徵部、或其二或更多種之組合。
如上所述,製程參數可包括於處理模組內的任何操作變數、諸如但不限於:氣體流速;蝕刻劑、沉積反應物、吹掃氣體等之成份;腔室壓力;溫度;電極間距;功率等。主動封鎖系統的探測識別系統建構來從檢查系統收集測量資料,並控制在公共製造站台上所執行的整合型處理步驟之順序,例如,藉由在後續處理模組中對製程中的工件之處理參數進行原位調整,或藉由改變後續工件的一或多個處理模組中之製程參數。因此,所獲得的測量資料可用於在整合型處理步驟順序期間識別對工件之所需修復,以避免必須廢棄工件,及/或於獲得測量資料之後調整在同一工件上施行的步驟之整合型處理步驟順序的處理參數,或用於處理後續工件以減少後續工件未滿足之目標條件的發生。
現在參考圖4A-4B,顯示傳統通孔與FSAV製作的比較。例如,工件100是與上面所描述並於圖1M中所顯示之工件相同的工件。如圖4A中所顯示,工件100是具有完全自對準通孔之工件100的範例,所述工件包括基板104、 下層106、金屬特徵部110、金屬蓋112、第一介電層116、蝕刻停止層120、第二介電層122、及用金屬126填充之特徵部。參照圖4B,工件100'是工件100'上的傳統製作之填充凹入特徵部的範例,工件100'包括下層106'、金屬蓋112'、金屬特徵部110'、和用金屬126'填充之特徵部。從金屬蓋112的角落至金屬126之角落的通孔距離V大於從金屬蓋112'至金屬126'之通孔距離V'。FSAV配置優於傳統配置,至少因為在傳統配置下,半導體可能短路,造成半導體損壞甚至失效。包括完全自對準通孔的半導體比傳統配置短得多,導致產生更可靠之產品。
如本文所揭示的,“計量模組”或“測量模組”一詞意指可在工件上進行測量以偵測或決定工件上之諸多不合格或變動、諸如參數變動的模組/系統/感測器/工具,或偵測或決定工件上之缺陷、諸如某種污染。如本文所使用,“檢查系統”一詞將大致上意指測量和收集與測量相關聯的資料或信號之測量過程或模組的工具或系統。測量模組將進行測量並提供用於處理站台之資料,如本文所進一步揭示的。“計量模組”和“測量模組”一詞將在本文中可互換地使用,且大致上意指用於偵測和測量工件屬性之測量或計量或感測工具,其指示工件和在其上所形成的層和裝置之處理。
為了在諸多處理模組之間運動工件,諸如第一及/或第二公共製造站台300、360的公共製造站台、和輔助模組350大致上可併入一或多個工件傳送模組,其承載於公共製造站台上及建構用於在處理模組和測量模組之間運動工件。測量模組可以與類似於處理模組的工件傳送模組耦接。在本發明之一些實施例中,如本文所揭示,測量模組或與其相關聯的檢查系統與傳送模組合併或於傳送模組內側,以當工件在處理模組之間運動時提供測量或計量。例如,測量模組或其一部分可以定位於傳送模組的內部空間內。在此中,組合傳送和測量設備將被稱為傳送測量模組(“TMM”)。
於一實施例中,包括處理室和測量模組的公共製造站台、諸如第 一及/或第二公共製造站台300、360和輔助模組350可藉由系統主動地控制,所述系統處理與工件上之屬性相關聯的測量資料,並使用測量資料來控制處理順序中之工件的運動和處理。根據本發明之實施例,控制系統使用測量資料和其他資料,以局部基於測量資料來施行校正處理,以提供處理順序的主動封鎖,以校正不合格或缺陷。更明確地是,主動封鎖控制系統可承載於公共製造站台和輔助模組350上,並建構來至少局部地基於測量資料施行校正處理,其中工件之校正處理可以在站台的處理模組中施行,所述模組是在製程順序中之上游或下游,以解決偵測到不合格或缺陷的情況。譬如,於本發明之一實施例中,工件保持在一或多個受控環境中、諸如於真空下。亦即,在該公共製造站台上,處理模組和測量模組可以在受控環境中操作,並且工件傳送模組於處理順序中的複數處理模組和一或多個測量模組之間傳送工件,而未離開受控環境。
如本文所使用的,“主動封鎖”一詞大致上意指控制系統,其實現為相對於諸多製作過程實時捕獲測量/計量資料以獲得關於工件屬性之資料,並藉此偵測不合格或缺陷及控制的校正態樣,以校正或改善不合格或缺陷。主動封鎖控制系統藉由主動變動施行製程步驟之模組的處理順序及/或操作,使用該資料來校正和改善半導體製作製程中之諸多不合格。因此,主動封鎖控制系統亦與用於使工件運動經過所述製程的一或多個傳送模組(例如,310)界接。主動封鎖控制系統(圖3中之322,如下面進一步描述的)協調資料收集和資料分析及與製作製程之不合格的偵測,並進一步引導多數處理模組之動作以便解決待偵測的不合格或缺陷。主動封鎖控制系統大致上藉由如本文所述之一或多個電腦或計算裝置來實現,所述電腦操作特別設計的程式集、諸如深度學習程式或自主學習組件,在此統稱為主動封鎖組件。如可理解的,主動封鎖控制系統可以併入多數程式/組件,以協調來自諸多測量模組之資料收集和隨後的分析。主動封鎖控制系統與公共製造站台中之多數處理模組界接,以便解決諸多 測量的不合格/缺陷,以校正或改善不合格/缺陷。藉此,主動封鎖控制系統將控制處理模組之一或多個和處理順序,以達成本發明的期望結果,其可以稱為目標條件或預定閾值。
主動封鎖控制系統亦可控制傳送模組,以便在偵測到不合格/缺陷時將工件運動至上游及/或下游處理模組。也就是說,取決於偵測到之內容,本發明的系統可以在處理順序中進一步運動工件,或可以將工件引導至校正模組或至上游處理模組,以校正或以其他方式解決所偵測到之不合格或缺陷。這樣,經過傳送模組提供前饋和反饋機制,以提供對本發明的主動封鎖。此外,處理順序可能會對未來工件之上游或下游產生影響。
本發明的主動封鎖特徵部使用所收集之測量/計量資料,使用於晶片內的運行到運行、晶圓到晶圓和實時製程控制來改善製造製程之性能、產量、傳輸量和靈活性。在處理期間實時收集測量資料,而不會從受控的處理環境中移除工件/基板/晶圓。根據本發明的一特徵,於公共製造站台中,例如,可以在基板保持在受控環境中(諸如在真空下)時捕獲測量資料。亦即,工件傳送模組可建構用於在複數處理模組及測量模組之間傳送工件,而不會離開受控環境。主動封鎖控制可以提供多變量、基於模型的系統,所述系統與前饋和反饋機制一起開發,以基於進來之工件和模組或工具狀態性質兩者來自動地決定用於每一工件的最佳配方。主動封鎖控制系統使用製作測量資料、製程模型和復雜之控制算法,以提供中間製程目標的動態微調,從而增強最終之裝置目標。封鎖系統使用如本文所述的類似構建塊、概念和算法,在公共製造站台上實現跨單一腔室、製程工具、多工具、製程模組、和多製程模組之可擴展的控制解決方案。
再次參考圖3,圖3是用於在第一公共製造站台300上實現本發明之實施例的另一系統之示意圖。站台300併入複數處理模組/系統,用於在根據 本發明實施例的主動封鎖控制系統322之控制下施行整合型工件處理和工件測量/計量。圖3說明本發明的一實施例,其中一或多個工件測量模組經過一或多個傳送模組與一或多個工件處理模組耦接在一起。以這種方式,根據本發明之特徵,可以進行工件檢查以提供與工件屬性相關聯的測量資料、諸如關於工件之材料性質以及於工件保持在公共的製造站台內時形成於工件上之諸多薄膜、層和特徵。如本文所討論的,可以在完成諸如蝕刻或沉積步驟之處理步驟時立即進行測量和分析,並且所收集的測量資料可以被分析及接著於公共製造站台內使用,以解決超出規格說明或非保形之任何測量或特徵,或代表相對於工件設計參數的缺陷。工件不需要從公共製造站台移除以採取校正動作,而是可保持在受控環境下。
參考圖3,圖樣地說明公共製造站台300。站台300包括前端模組302,用於將一或多個工件導入製造站台。如眾所周知,前端模組(FEM)可以併入一或多個固持工件之匣盒。前端模組可以維持在大氣壓力,但是用惰性氣體吹掃以提供清潔的環境。一或多個工件可接著傳送進入傳送模組310、諸如經過如本文所討論的一或多個加載鎖定室(未示出)。圖3之傳送模組是傳送測量模組(TMM),其包括測量工具或整合於其中的檢查系統,用於由工件捕獲資料。多數TMM 310可以界接用以提供工件之運動經過期望的順序。傳送測量模組310與複數處理模組耦接。此等處理模組可提供諸多不同之處理步驟或功能,並可包括一或多個蝕刻模組330、一或多個成膜模組320、一或多個清潔模組340、以及一或多個測量模組312a、312b、312c、312d。根據本文所進一步揭示的本發明實施例,可以在每一處理步驟之前或之後經過傳送模組310存取測量模組。於一實施例中,諸如312c、312d的測量模組坐落在傳送模組310之外側,並且被存取以插入和承接類似於諸多處理模組的工件,並且在本文中可以稱為駐留於第一公共製造站台300之受控環境內的計量模組。另一選擇係,測量模組或其至少一部 分(諸如模組312a、312b)可坐落於個別之傳送模組中。更具體地,測量模組312a、312b的全部或一部分坐落在傳送模組310中,以於其中界定測量區域,在此可以定位工件,以於傳送過程期間進行測量。測量區域坐落在傳送模組310之專用區域中,並且可藉由傳送模組的傳送機構存取,用於定位工件。如所述,這使得傳送模組本質上是如本文所討論之傳送測量模組(TMM)。
大致上,傳送模組在其中限定腔室,所述腔室容納傳送機器人,所述傳送機器人能夠於真空下經過諸多閘閥和進出或傳送端口將工件運動進入諸多處理模組或測量模組。藉由將測量模組維持在第一公共製造站台300上,可以輕易地存取它們、諸如於一或多個處理步驟之間,以在運行中提供必要的測量分析資料,所述資料將用於解決任何超出規格之工件或以其他方式與特定工件的工件設計方案不一致、或解決可偵測之缺陷。以這種方式,提供實時資料以允許製造者在系統中早期識別問題,以致可以於當前處理順序中、諸如在後續處理步驟中、於先前處理步驟中、及/或在未來處理步驟中採取補救措施,取決於所捕獲的資料和所偵測之不合格或缺陷。以這種方式,可以增加生產率和效率,可以減少製程監控開銷,並且可以減少呈被拒絕或排出的工件之形式的浪費產品。這一切都為製造者或裝置製造商節省了大量成本。
如所注意者,併入主動封鎖控制系統322之本發明的一實施例中,一或多個測量模組承載在具有處理模組之公共製造站台上,用於提供關於工件屬性的測量資料。主動封鎖控制系統322使用所述資料來偵測不合格並在偵測到不合格時施行工件之校正處理。當偵測到不合格時,於處理順序中的上游及/或下游施行校正處理。再者,如上所指示,可以理解,第二公共製造站台360及/或輔助模組350可包括計量模組、諸如計量模組372a,且有利地包括像第一公共製造站台300之“主動封鎖系統”,如上面詳細討論的。
圖5A-5K繪製示意性橫截面圖,說明用於工件500之完全自對準 的通孔形成方法之實施例。圖6是對應於圖5A-5K的方法之製程流程600的流程圖。如上面所說明,圖3繪製第一公共製造站台300以及可用於施行製程流程200之輔助模組350和第二公共製造站台360的配置之實施例。類似地,圖3的製程流程600可以用於施行製程流程600。為此,將遍及圖5A-5K之以下順序討論參考圖6的製程流程600及公共製造站台300、360與輔助模組350,其中當工件500持續進行經過一系列處理步驟時描述工件500。
在製程流程600之操作602中並且如圖5A中所示,在第一公共製造站台300中提供具有下層506中的金屬特徵部510之圖案的工件500。工件500包括金屬特徵部510之圖案和定位於基板504上的下層506。對於那些熟悉現有技術領域者,已知不同之方案,用於在基板上建立金屬特徵部510的圖案。為簡單起見,工件500描繪為具有基板504,其上具有下層506,雖然其可了解於下層上形成金屬特徵部510之結構可以是多層結構,其下層506僅為多數層的其中一層。
下層506可以是氧化物層,包括氧化矽、二氧化矽、摻雜碳之氧化矽、多孔摻雜碳的氧化矽、或矽之一些其他氧化物。在多孔氧化物的情況下,可以於操作604之前施行孔隙密封製程(未示出)。另一選擇係或此外,下層506可為介電層。
金屬特徵部510可包括、但不限於銅、釕、鈷、鎢、或其組合。另外,襯墊層511與金屬特徵部510中的金屬材料一起包括在凹入特徵部中。襯墊層511可以包括氮化鉭,且抑制金屬接觸下層506中之氧化物及/或介電材料。襯墊層511可用於將金屬特徵部510中的金屬材料接合至下層506。另一選擇或此外,襯墊層511可用於防止金屬特徵部510中之金屬材料擴散進入下層506。
如圖3中所示,前端模組(FEM)302或傳送模組310a可用於將工件帶入第一公共製造站台300的受控環境中,所述受控環境在整個製程流程600之至少一部分得以維持。受控環境可包括真空環境,在此製程流程600中的至少一 些操作依次進行而不破壞真空、或惰性氣體大氣、或其組合。單一傳送模組可以耦接於每一處理模組或工具之間(諸如圖3中所顯示之傳送模組310a、310b的各者),或可以針對各工具傳送使用分開的傳送模組。在適當的情況下,傳送模組310a-b於此可以統稱為傳送模組310。在第一公共製造站台300上之不同處理模組需要不同的受控環境(諸如一模組中之不同真空壓力或真空,接著是具有惰性氣體大氣的模組)之情況下,可以使用多數傳送模組310,在此傳送模組310輔助實施不同受控環境之間的過渡。雖然單一傳送模組在群組類型工具中可能是有用的,在此相同類型之處理模組定位於傳送模組周圍的圓圈中,但是多數傳送模組310可能更適合於具有不同處理模組類型、諸如圖3中所描述之模組類型的頭尾相接式站台組構。然而,在此中之實施例並不排除利用耦接至每一處理模組的單一傳送模組之頭尾相接式站台組構、或其間的一些組構,例如,用於用於按順序使用之鄰接相同類型處理模組的公共傳送模組。
前端模組302可用於裝載工件之匣盒(未示出),按順序地將工件對齊並將它們插入負載鎖定室,然後插入受控環境中的傳送模組310a,並且傳送模組310a按順序地將工件裝載進入處理模組。在第一公共製造站台300中,於操作602中,已承納進入受控環境之工件100藉由傳送模組310a裝載進入第一公共製造站台300上所承載的成膜模組320a或320b。在適當之情況下,成膜模組320a、320b於本文中可統稱為成膜模組320。類似地,在適當的情況下,蝕刻模組330a、330b於本文中可統稱為蝕刻模組330。類似地,在適當之情況下,計量模組312a-d於本文中可以統稱為計量模組312。類似地,在適當的情況下,清潔模組340a、340b於本文中可以統稱為清潔模組340。
參見圖5B、6和3,在操作604中,於蝕刻模組330中,蝕刻金屬特徵部510,使得暴露表面508降低或退回進入工件500。如此降低之金屬特徵部510的暴露表面508在工件500上形成凹部圖案在襯墊層511上與工件500隨同襯 墊層511一起形成凹部圖案。
然後,於不離開受控環境之情況下、例如在不破壞真空的情況下,工件500可以停留於相同之蝕刻模組330中或使用傳送模組310傳送至不同的蝕刻模組330、諸如蝕刻模組330b。如果用於後續操作之蝕刻模組330以不同於當前或先前操作中所使用的蝕刻模組之參數(諸如不同的真空壓力)操作,則可以在傳送模組310a和310b中對受控環境進行調整。
參考圖5C、6及3,於操作606中,在蝕刻模組330中,蝕刻襯墊層511,以將襯墊層511降低進入工件500。降低襯墊層511,使得襯墊層511之上緣係與暴露表面508或降低的金屬特徵部510共平面。如此降低之襯墊層511和金屬特徵部510在工件500上形成凹部圖案。
然後,在不離開受控環境的情況下、例如於不破壞真空之情況下,可以使用傳送模組310a和310b將工件100傳送至成膜模組520、諸如亦承載在第一公共製造站台300上的成膜模組520a,例如,傳送模組310a從第一蝕刻模組330a移除工件100,並將工件500輸送進入成膜模組320a中。如果成膜模組320以不同於蝕刻模組330的參數、諸如不同之真空壓力操作,則可以在傳送模組310a和310b中對受控環境進行調整。
此後,於操作608中,且再次不離開受控環境的情況下、例如不破壞真空之情況下,參考圖5D、6和3,使用一或多個成膜模組320,在凹部圖案特徵部上方沉積蝕刻停止層520。蝕刻停止層520可以包括具有金屬(例如氮化鉭)的氮化膜或介電材料(例如氮化矽)。蝕刻停止層520之沉積可以在與先前操作相同的成膜模組中施行。
然後,於不離開受控環境之情況下、例如在不破壞真空的情況下,可以使用傳送模組310a和310b將工件500傳送至相同或不同之成膜模組320、諸如亦承載在第一公共製造站台300上的成膜模組320b,例如,傳送模組 310a從成膜模組320a移除工件500,並將工件500傳送至成膜模組320b。例如,如果第二成膜模組320b以不同於例如第一成膜模組320a之參數、諸如不同的真空壓力操作,則可以在傳送模組310a和310b中對受控環境進行調整。
此後,於操作610中,且再次不離開受控環境之情況下、例如不破壞真空的情況下,參考圖5E、6和3,使用一或多個成膜模組320,於蝕刻停止層520上方沉積蝕介電材料之第二介電層,形成層間介電膜522。層間介電膜522可包括與下層506相同的材料。例如,層間介電膜522可以包括矽之氧化物。層間介電膜522的沉積可以在與任何先前操作相同之成膜模組中施行。
然後,於操作616中,參考圖5F、6和3,工件500傳送至輔助模組350供進一步處理。輔助模組350不在受控環境中操作。輔助模組350可包括軌道模組354和微影模組352。工件100從第一公共製造站台300傳送至輔助模組350,離開受控環境並破壞第一公共製造站台300的真空。於一些範例中,工件500傳送至軌道模組354。在軌道模組354中,光阻層540旋塗至工件500之上表面上、明確地是至層間介電膜522的上表面上。光阻層540係光敏層並旋塗至工件500之上表面上,使得光阻層540是均勻的並且覆蓋工件500的上表面,如圖5F中所示。
然後,於操作618中,進一步參考圖5G、6和3,工件500傳送至微影模組352。在一些範例中,諸如圖3中所顯示之輔助模組350,微影模組352可以與軌道模組354共享諸如輔助模組350的公共模組。另一選擇係,微影模組352可以是與軌道模組354完全或局部分離之模組。於微影模組352中,遮罩(未示出)覆蓋部分光阻層540,使得未被掩模所覆蓋之部分被暴露。例如,如圖5F中所示,暴露部分542可以藉由遮罩保持暴露。光阻層540的暴露部分542在微影模組352中經受光。光減弱光接觸之光阻層540的部分、亦即光阻層540之暴露部分542。遮罩覆蓋光阻層540的其餘部分並防止除暴露部分542之外的部分在微影模組352中暴露至光。因此,藉由暴露至微影模組352中之光而僅削弱光阻層540的 暴露部分542。
然後,於操作620中,參考圖5G、6和3,工件500在燒固製程中顯影。燒固製程將暴露部分542從工件500移除。如圖5G中所示,燒固製程導致暴露部分542之移除(圖5G中未示出,因為它在燒固製程中被移除),且暴露層間介電膜522的上表面之一部分544。
然後,工件500被傳送回至受控環境。此受控環境可以存在於公共製造站台上。此公共製造站台可以是施行操作602-610的相同或不同之公共製造站台,亦即第一公共製造站台300。另一選擇係,工件500可以傳送至第二公共製造站台360,這在此處發生。
如圖3中所示,第二公共製造站台360包括前端模組(FEM)362或傳送模組370a,其可用於將工件100帶入第二公共製造站台360的受控環境,遍及製程流程600之至少一部分維持所述受控環境。受控環境可以包括真空環境,於此在不破壞真空、或惰性氣體大氣或其組合的情況下進行製程流程600中之至少一些操作。單一傳送模組(諸如傳送模組370a)可以耦接於每一處理模組或工具之間,或分開的傳送模組可以用於每一工具傳送。在第二公共製造站台360上之不同處理模組需要不同的受控環境(諸如,一模組中之不同真空壓力或真空、接著是具有惰性氣體大氣的模組)之情況下,可以使用多數傳送模組,於此傳送模組幫助實現在不同的受控環境之間的過渡。雖然單一傳送模組在群組類型工具中可能是有用的,在此相同類型之處理模組定位於傳送模組周圍的圓圈中,但是多數傳送模組可能更適合於具有不同處理模組類型之頭尾相接式站台組構。
前端模組362可用於裝載工件的匣盒(未示出),按順序地將工件對齊並將它們插入負載鎖定室,然後插入受控環境中之傳送模組370a,並且傳送模組370a按順序地將工件裝載進入處理模組。在第二公共製造站台360中,且相對於操作622,已承納進入受控環境的工件500藉由傳送模組370a裝載進入第 二公共製造站台360上所承載之蝕刻模組390、諸如第一蝕刻模組390b,而不離開受控環境、例如不破壞真空。在適當的情況下,坐落於第二公共製造站台360上之蝕刻模組390a、390b在本文中可以統稱為蝕刻模組390。類似地,在適當的情況下,沉積模組380a、380b於本文中可統稱為沉積模組380。如果蝕刻模組390以不同於前端模組362之參數、諸如不同的真空壓力操作,則可以在傳送模組370a中對受控環境進行調整。
此後,於操作622中,且在不離開受控環境之情況下、例如於不破壞真空的情況下,參考圖5H、6和3,蝕刻層間介電膜522之暴露部分544以形成一或多個通孔特徵部524a。藉由使用一或多個蝕刻模組330中的一個蝕刻層間介電膜522至蝕刻停止層520來形成通孔特徵部524a。結果,蝕刻停止層520暴露在一或多個通孔特徵部524a之底部,如圖5H中所示。蝕刻停止層520的暴露可以用作蝕刻模組330之指示,以停止更深地蝕刻進入工件500朝基板504。
然後,於不離開受控環境的情況下、例如在不破壞真空之情況下,傳送模組370a可用於將工件500傳送至蝕刻模組390、諸如亦承載在第二公共製造站台360上的蝕刻模組390b,例如,傳送模組370a從蝕刻模組390a移除工件500,並將工件500輸送進入蝕刻模組330b。如果蝕刻模組390b以不同於蝕刻模組390a的參數、諸如不同之真空壓力操作,則可以在傳送模組370a中對受控環境進行調整。
此後,參考圖5I和3,且再次不離開受控環境、例如不破壞真空,從層間介電質522的上表面、諸如於第二蝕刻模組390b內蝕刻光阻層540。結果,層間介電質522之上表面被暴露並準備用於沉積金屬特徵部材料。
此後,在操作624中,且再次不離開受控環境、例如不破壞真空,參考圖5J、6和3,蝕刻暴露的蝕刻停止層520以進一步形成一或多個通孔特徵部524b。藉由使用一或多個蝕刻模組390中之一個蝕刻暴露的蝕刻停止層520來形 成通孔特徵部524b。結果,至少一些金屬特徵部510暴露於一或多個通孔特徵部524b的底部,如圖5J中所示。金屬特徵部510之暴露可以用作蝕刻模組390的指示,以停止更深地蝕刻進入工件500朝基板504。
然後,在不離開受控環境之情況下、例如於不破壞真空的情況下,可以使用傳送模組370a將工件500傳送至成膜模組380、諸如亦承載在第二公共製造站台360上的成膜模組380a或380b,例如,傳送模組370a從蝕刻模組390移除工件500,並將工件500輸送進入成膜模組380a或380b。如果成膜模組380以不同於蝕刻模組390的參數、諸如不同之真空壓力操作,則可以在傳送模組370a中對受控環境進行調整。
此後,於操作626中,且再次不離開受控環境、例如不破壞真空,參考圖5K、6和3,使用成膜模組320將金屬526沉積進入通孔特徵部524b。通孔特徵部524b在成膜模組320中的金屬特徵部510上方填充有金屬526。於一些範例中,金屬526選自由釕、鎢、鈷、銅及其組合所組成之群組。
可選地,工件500可以在進一步的圖案化操作之前經受一或多個清潔製程。例如,可以於第一公共製造站台300上所承載的相同清潔模組340a、340b中施行清潔。傳送模組310可用於將工件500從成膜模組380傳送至例如第一公共製造站台300,且接著傳送至清潔模組340。如所示,傳送模組310a、310b、370a可用於進行傳送。例如,傳送模組370a可以從成膜模組380a移除工件,並最終將其傳送至傳送模組310b,然後傳送模組310b將工件輸送進入清潔模組340。再次,第一公共製造站台300可以包括在傳送模組310b之相同或相反側上的二完全相同之清潔模組340。應理解,第二公共製造站台360及/或輔助模組350亦可以包括一或多個清潔模組,以致可以在其中施行清潔。
圖6的製程流程600現在將用可選之計量操作詳細地敘述。操作602包括將工件承納進入第一公共製造站台300,工件在介電層中具有金屬特徵 部的圖案,其中金屬特徵部之暴露表面和介電層的暴露表面一起界定上平坦表面。操作650包括可選地施行計量,以獲得與進來工件之屬性、諸如金屬特徵部的屬性、金屬特徵部圖案之佈局、及於其內形成金屬特徵部的下層有關之測量資料,所述測量資料可以用於調整及/或控制操作602-626的任何一個之製程參數。
操作604包括將金屬特徵部蝕刻至預定深度。操作652包括可選地施行計量,以獲得與具有蝕刻至預定深度的金屬特徵部之工件的屬性、諸如金屬特徵部之屬性、金屬特徵部的深度、及/或藉由金屬特徵部之深度所影響而形成的金屬特徵部之下層有關的測量資料,所述測量資料可用於調整及/或控制操作602-626中之任何一個的製程參數,或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復選擇性施加的金屬蓋。例如,當金屬特徵部之深度或均勻性未滿足目標深度或均勻性時,可以在一或多個校正模組中採取校正動作、諸如進一步蝕刻金屬特徵部、金屬沉積以提高金屬特徵部、或二或更多種的組合。
操作606包括使用一或多個蝕刻模組中之一個蝕刻襯墊層。操作654包括可選地施行計量,以獲得與具有蝕刻至預定深度的襯墊層之工件的屬性、諸如襯墊層之屬性、襯墊層的深度、及/或具有金屬特徵部之邊緣的襯墊層之平面度有關的測量資料。測量資料可用於調整及/或控制操作602-626之任何一個的製程參數,或可用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復選擇性施加的金屬蓋。例如,當襯墊層之深度、均勻性或平面度未滿足目標深度、均勻性、或平面度時,可以在一或多個校正模組中採取校正動作、諸如進一步蝕刻襯墊層、襯墊層沉積以提升襯墊層、或其二或更多種的組合。
操作608使用一或多個成膜模組中之一個在凹部圖案上方沉積蝕刻停止層。操作656包括可選地施行計量,以獲得與於其上形成有蝕刻停止層的工件之屬性、諸如在其上形成有蝕刻停止層的工件之屬性、如受蝕刻停止層所影響的凹部圖案、及/或如受蝕刻停止層所影響之下層有關的測量資料,所述測量資料可用於調整及/或控制操作602-626中之任何一個的製程參數,可用於對隨後之工件進行調整以可用於在操作602中或至操作604-626對後續工件調整工件的進來屬性,或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組,以修復凹部圖案上方的蝕刻停止層。例如,當蝕刻停止層之厚度、寬度、或輪廓未滿足蝕刻停止層的目標厚度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加材料選擇性沉積至凹部圖案上、對蝕刻停止層進行重新成形、蝕刻所述蝕刻停止層的一部分、或其二或更多種之組合。
操作610包括在蝕刻停止層上沉積第二介電材料,以使用一或多個成膜模組中的一個在凹部圖案上方及/或凹部圖案中形成層間介電膜。操作658包括可選地施行計量,以獲得與具有第二介電材料之工件的屬性、諸如受第二介電材料所影響之蝕刻停止層的屬性及/或受第二介電材料所影響之下層有關的測量資料,所述測量資料可用於調整及/或控制操作610之製程參數、可以用於在操作602中或至操作604-626對後續工件進行工件的進來屬性之調整、或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復層間介電膜。例如,當層間介電膜的厚度、寬度、或輪廓未滿足層間介電膜之目標厚度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加的第二介電材料選擇性沉積至蝕刻停止層上、蝕刻第二介電材料、或其二或更多種之組合。
此後,可以在與完全自對準通孔的形成有關之處理步驟順序期間持續獲得測量資料。但是在此,如果將利用第一公共製造站台300獲得測量資料,則需要在站台300、360及/或輔助模組350之間傳送工件。於某些實施例中,預期第二公共製造站台360及/或輔助模組350可包括它們自己的計量模組、例如計量模組372a,並且有利地包括它們自己的“主動封鎖系統”。在那種情況下,測量資料可以持續於受控環境內至少相對於第二公共製造站台360獲得且例如與其自己對應之處理步驟順序相關聯。
操作616包括在層間介電膜上沉積光阻層。操作668包括可選地施行計量,以獲得與於其上沉積有光阻層的工件之屬性、諸如受光阻層所影響的蝕刻停止層及/或受光阻層所影響之下層的屬性有關之測量資料,所述測量資料可用於調整及/或控制操作616的製程參數、可用於在操作602中或至操作604-626對後續工件進行工件的進來屬性之調整,或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復光阻層。例如,當光阻層的厚度、寬度、均勻性、或輪廓未滿足層間介電膜之目標厚度、寬度、均勻性或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加的光阻層材料選擇性沉積至工件上、蝕刻光阻層、或其二或更多種之組合。
操作618包括將光阻層暴露至光,以至少減弱光阻層。操作670包括可選地施行計量,以獲得與於其上沉積有光阻層的工件之屬性、諸如受曝光所影響的光阻層之各部分的屬性有關之測量資料。此測量資料可用於調整及/或控制操作620的製程參數、可用於在操作602中或至操作604-626對後續工件進行工件之進來屬性的調整、或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復光阻層。例如,當光阻層的特定部分或期望弱點未滿足光阻層之 目標特定部分或期望弱點時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加的光阻層材料選擇性沉積至工件上、蝕刻光阻層、進一步將光阻層之各部分曝光、或其二或更多種之組合。
操作620包括於層間介電膜上燒固或顯影光阻層的一部分。操作672包括可選地施行計量,以獲得與在其上沉積有光阻層之工件的屬性、諸如光阻層之屬性及/或受光阻層所影響的層間介電膜之屬性有關的測量資料,所述測量資料可以用於調整及/或控制操作620之製程參數、可以用於在操作602中或至操作604-626對後續工件進行工件的進來屬性之調整、或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復光阻層。例如,當在燒固/顯影製程中移除的光阻層之各部分未滿足所移除的目標部分時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加的光阻層材料選擇性沉積至工件上、蝕刻光阻層、進一步將光阻層之各部分曝光、或其二或更多種之組合。
操作622包括使用一或多個蝕刻模組中的一個蝕刻穿過層間介電質至蝕刻停止層之一或多個通孔特徵部,蝕刻停止層在一或多個通孔特徵部的底部暴露及/或由層間介電膜蝕刻光阻層。操作664包括可選地施行計量,以經過層間介電膜獲得與具有蝕刻的通孔特徵部之工件的屬性、諸如受層間介電膜材料所影響之蝕刻停止層及/或受層間介電膜材料所影響的下層之屬性相關的測量資料,所述測量資料可以用於調整及/或控制操作622之製程參數、可以用於在操作602中或至操作604-626對後續工件進行工件的進來屬性之調整、或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復層間介電膜。例如,當層間介電膜的厚度、寬度、或輪廓未滿足層間介電膜之目標厚度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將附加的第二介電 材料選擇性沉積至蝕刻停止層上、蝕刻第二介電材料、或其二或更多種之組合。
操作624包括使用一或多個蝕刻模組中的一個蝕刻在一或多個通孔特徵部之底部處的暴露蝕刻停止層,以暴露於一或多個通孔特徵部之底部處的金屬蓋。操作672包括可選地施行計量,以獲得與經過蝕刻停止層具有蝕刻之通孔特徵部的工件之屬性、諸如受金屬蓋的暴露所影響之蝕刻停止層及/或受金屬蓋的暴露所影響之下層的屬性相關之測量資料,所述測量資料可以用於調整及/或控制操作624的製程參數、可以用於在操作602中或至操作604-626對後續工件進行工件的進來屬性之調整、或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復經過蝕刻停止層的通孔特徵部。例如,當經過蝕刻停止層之通孔特徵部的厚度、寬度、或輪廓未滿足通孔特徵部之目標深度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由蝕刻所述蝕刻停止層。
操作626包括使用一或多個成膜模組中的一個以金屬在金屬蓋上方填充一或多個通孔特徵部。操作626可以更包括可選地施行計量,以獲得與在金屬蓋上方填充有通孔特徵部之工件的屬性、諸如藉由在金屬蓋上方填充一或多個通孔特徵部所影響之通孔特徵部及/或藉由在金屬蓋上方填充一或多個通孔特徵部所影響的下層之屬性相關的測量資料,所述測量資料可以用於調整及/或控制操作626的製程參數、可以用於在操作602中或至操作604-626對後續工件進行工件的進來屬性之調整、或可以用於在持續處理之前修復工件。於一實施例中,當測量資料指示一或多個屬性未滿足目標條件時,可以將工件傳送至校正模組以修復通孔特徵部的填充。例如,當填充之通孔特徵部的厚度、寬度、或輪廓未滿足通孔特徵部之目標深度、寬度、或輪廓時,可以在一或多個校正模組中採取校正動作、諸如藉由將額外的金屬沉積進入通孔特徵部、蝕刻過載 的填充通孔特徵部、或其二或更多種之組合。
如上所提及,製程參數可包括於處理模組內的任何操作變數、諸如但不限於:氣體流速;蝕刻劑、沉積反應物、吹掃氣體等之成份;腔室壓力;溫度;電極間距;功率等。主動封鎖系統的探測識別系統建構來從檢查系統收集測量資料,並控制在公共製造站台上所執行的整合型處理步驟之順序,例如,藉由在後續處理模組中對製程中的工件之處理參數進行原位調整,或藉由改變後續工件的一或多個處理模組中之製程參數。因此,所獲得的測量資料可用於在整合型處理步驟順序期間識別對工件之所需修復,以避免必須廢棄工件,及/或於獲得測量資料之後調整在同一工件上施行的步驟之整合型處理步驟順序的處理參數,或用於處理後續工件以減少後續工件未滿足之目標條件的發生。
本領域技術人員輕易想到額外之優點和修改。因此,本發明在其更寬廣的態樣中不限於具體細節、代表性設備和方法、及所顯示與敘述之說明性範例。據此,在不脫離本發明的一般概念之範圍的情況下,可以偏離此等細節。
200:製程流程

Claims (16)

  1. 一種在半導體工件上製備自對準通孔之方法,該方法包含有:使用於承載複數處理模組的公共製造站台上所執行之整合型處理步驟的順序,該等處理模組包括一或多個成膜模組、一或多個蝕刻模組、及一或多個傳送模組,該整合型處理步驟之順序包含有:將該工件承接進入該公共製造站台,該工件在介電層中具有金屬特徵部的圖案,其中該等金屬特徵部之暴露表面和該介電層的暴露表面一起界定上平面式表面;使用該一或多個成膜模組之其中一者以相對該暴露的介電材料而將金屬蓋選擇性地沉積於該等金屬特徵部的暴露表面上,該等金屬特徵部與該介電材料之間的選擇性至少局部地基於在該等金屬特徵部上之金屬層沉積速率比在該介電材料上更高;使用該一或多個成膜模組之其中一者以相對該暴露的介電材料而在該等金屬蓋上選擇性地形成阻擋層,該金屬蓋和該介電材料之間的選擇性至少局部地基於在該等金屬蓋上之阻擋層沉積速率比在該介電材料上更高;使用該一或多個成膜模組之其中一者以在該介電層的暴露表面上選擇性地沉積第一介電材料,以於該第一介電材料中形成凹部圖案,該選擇性沉積至少局部地基於該第一介電材料在該等暴露表面上之沉積速率比在該等金屬蓋上的沉積速率更高,該凹部圖案包含有側壁,該側壁包括該第一介電材料之一部分;使用該一或多個蝕刻模組之其中一者處理該工件,以在該凹部圖案的底部表面暴露該等金屬蓋;及使用該一或多個成膜模組之其中一者以於凹部圖案上方沉積蝕刻停止層, 其中該整合型處理步驟的順序在該公共製造站台內的受控環境中執行,且不離開該受控環境,及其中該一或多個傳送模組係用於在該複數處理模組之間傳送該工件,同時將該工件維持於該受控環境內。
  2. 如申請專利範圍第1項之方法,其中沉積該阻擋層以在該金屬特徵部的圖案上方將該等金屬蓋包住。
  3. 如申請專利範圍第1項之方法,其中選擇性地沉積該等金屬蓋包括至少為10:1之該等金屬特徵部相對於該介電層的選擇性。
  4. 如申請專利範圍第1項之方法,其中該受控環境包括真空、惰性氣體、或其組合。
  5. 如申請專利範圍第1項之方法,其中該等金屬蓋包含釕,且該等金屬特徵部包含銅。
  6. 如申請專利範圍第1項之方法,其中該阻擋層包含自組裝單層。
  7. 如申請專利範圍第1項之方法,其中該選擇性沉積包含二或更多沉積步驟,該等沉積步驟在該工件上施加10nm或更少的第一介電材料。
  8. 如申請專利範圍第1項之方法,其中該整合型處理步驟的順序更包含於沉積該等金屬蓋之前預處理該工件,以改變該介電層的表面終端,且其中該預處理係在該公共製造站台上之一或多個預處理模組中完成。
  9. 如申請專利範圍第1項之方法,其中該整合型處理步驟的順序更包含於該金屬蓋沉積步驟期間使用該一或多個蝕刻室從該介電層移除任何金屬。
  10. 如申請專利範圍第1項之方法,其中該整合型處理步驟的順序更包含於該第一介電材料沉積步驟期間由該阻擋層或金屬蓋移除該第一介電材料。
  11. 如申請專利範圍第1項之方法,其中該整合型處理步驟的順序更包含於該第一介電材料沉積步驟期間由該等金屬蓋移除該第一介電材料及/或該阻擋層。
  12. 如申請專利範圍第11項之方法,其中該整合型處理步驟的順序更包含使用該一或多個成膜模組之其中一者以相對該暴露之介電材料,在該等金屬蓋上選擇性地形成一替換阻擋層;該金屬蓋和該介電材料之間的選擇性至少局部地基於在該等金屬蓋上之替換阻擋層沉積速率比在該介電材料上的替換阻擋層沉積速率更高。
  13. 如申請專利範圍第1項之方法,更包含使用該一或多個成膜模組之其中一者將第二介電材料沉積在該蝕刻停止層上,以於該凹部圖案上方及/或在該凹部圖案中形成層間介電膜。
  14. 如申請專利範圍第1項之方法,其中該介電層包含氧化物,且該第一介電材料係與該介電層的氧化物不同之氧化物。
  15. 如申請專利範圍第1項之方法,其中該等金屬蓋包含釕、鈷、鎢、或鉬。
  16. 一種在半導體工件上製備自對準通孔之方法,該方法包含有:使用於承載複數處理模組的公共製造站台上所執行之整合型處理步驟的順序,該等處理模組包括一或多個成膜模組、一或多個蝕刻模組、及一或多個傳送模組,該整合型處理步驟之順序包含有:將該工件承接進入該公共製造站台,該工件在介電層中具有金屬特徵部的圖案,其中該等金屬特徵部之暴露表面和該介電層的暴露表面一起界定上平面式表面;使用該一或多個成膜模組之其中一者以相對該介電層的暴露表面而將金屬蓋選擇性地沉積於該等金屬特徵部之暴露表面上; 相對該介電層的暴露表面而在該等金屬特徵部周圍選擇性地形成介電材料的凹部圖案,使該等金屬蓋形成該凹部圖案之底部表面,該等金屬蓋由該凹部圖案之側壁所形成之溝道的頂部暴露;及使用該一或多個成膜模組之其中一者以於該凹部圖案上方沉積蝕刻停止層,其中該整合型處理步驟的順序在該公共製造站台內之受控環境中執行,且不離開該受控環境,及其中該一或多個傳送模組係用於在該複數處理模組之間傳送該工件,同時將該工件維持於該受控環境內。
TW108109418A 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法 TWI813647B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201962787608P 2019-01-02 2019-01-02
US201962787607P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04

Publications (2)

Publication Number Publication Date
TW202021005A TW202021005A (zh) 2020-06-01
TWI813647B true TWI813647B (zh) 2023-09-01

Family

ID=67983243

Family Applications (5)

Application Number Title Priority Date Filing Date
TW108109298A TWI815870B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109418A TWI813647B (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法
TW108109263A TW201946188A (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109299A TWI794451B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109423A TW202004993A (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW108109298A TWI815870B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
TW108109263A TW201946188A (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109299A TWI794451B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109423A TW202004993A (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法

Country Status (7)

Country Link
US (9) US20200083080A1 (zh)
JP (1) JP7348440B2 (zh)
KR (3) KR20200123480A (zh)
CN (1) CN112074940A (zh)
SG (1) SG11202009105YA (zh)
TW (5) TWI815870B (zh)
WO (2) WO2019182913A1 (zh)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016123865A1 (de) * 2016-12-08 2018-06-14 Schott Ag Verfahren zum Weiterverarbeiten eines Glasrohr-Halbzeugs einschließlich einer thermischen Umformung
DE102016124833A1 (de) 2016-12-19 2018-06-21 Schott Ag Verfahren zum Herstellen eines Hohlglasprodukts aus einem Glasrohr-Halbzeug mit Markierungen, sowie Verwendungen hiervon
KR20200108876A (ko) * 2018-01-26 2020-09-21 도쿄엘렉트론가부시키가이샤 기판 처리 장치
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
KR20210014127A (ko) * 2018-06-27 2021-02-08 도쿄엘렉트론가부시키가이샤 선택적 이중층 유전체 재성장을 통한 완전 자기 정렬 비아
KR102635828B1 (ko) * 2018-09-20 2024-02-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11681929B2 (en) * 2018-10-02 2023-06-20 Honeywell International Inc. Methods and systems for predicting a remaining useful life of a component using an accelerated failure time model
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
US11366457B1 (en) * 2018-11-16 2022-06-21 On-Time.Ai, Inc. Controling operation of machine tools using artificial intelligence
JP7304692B2 (ja) * 2018-12-13 2023-07-07 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
WO2020194589A1 (ja) * 2019-03-27 2020-10-01 三菱電機株式会社 車両制御用演算装置、車両制御装置、及び、車両制御用演算方法
US11156991B2 (en) * 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
JP6956147B2 (ja) * 2019-07-23 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20210103221A1 (en) * 2019-10-08 2021-04-08 International Business Machines Corporation Tool control using multistage lstm for predicting on-wafer measurements
US20230023764A1 (en) * 2019-12-17 2023-01-26 Applied Materials, Inc. Surface profiling and texturing of chamber components
TWI740313B (zh) * 2019-12-18 2021-09-21 新加坡商鴻運科股份有限公司 虛擬量測方法、裝置及電腦可讀存儲介質
KR20210081729A (ko) 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
WO2021138132A1 (en) * 2019-12-30 2021-07-08 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
KR20220129599A (ko) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 Oled 층 두께 및 도펀트 농도의 인-라인 모니터링
US11889740B2 (en) 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11515203B2 (en) 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11415971B2 (en) 2020-02-10 2022-08-16 Globalwafers Co., Ltd. Systems and methods for enhanced wafer manufacturing
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
TWI794756B (zh) * 2020-02-28 2023-03-01 美商奈米創尼克影像公司 用於智慧地模仿工廠控制系統及模擬回應資料之方法、系統及設備
JP2023516776A (ja) * 2020-03-09 2023-04-20 ナノトロニクス イメージング インコーポレイテッド 製造プロセスのためのシステム、方法及び媒体
US11939665B2 (en) * 2020-03-10 2024-03-26 Tokyo Electron Limted Film thickness measuring apparatus and film thickness measuring method, and film forming system and film forming method
US11221300B2 (en) * 2020-03-20 2022-01-11 KLA Corp. Determining metrology-like information for a specimen using an inspection tool
WO2021199164A1 (ja) * 2020-03-30 2021-10-07 株式会社日立ハイテク 診断システム
US20230162943A1 (en) * 2020-03-31 2023-05-25 Hitachi High-Tech Corporation Charged particle beam device
JP7230877B2 (ja) * 2020-04-20 2023-03-01 株式会社Sumco エピタキシャルウェーハの製造システム及びエピタキシャルウェーハの製造方法
US11450506B2 (en) * 2020-04-24 2022-09-20 Tel Manufacturing And Engineering Of America, Inc. Pattern enhancement using a gas cluster ion beam
TWI724888B (zh) * 2020-05-05 2021-04-11 崑山科技大學 磁浮系統之深度學習比例微分控制方法
TWI718945B (zh) * 2020-05-12 2021-02-11 國立彰化師範大學 主動式恆定施力感測控制系統
CN111614587B (zh) * 2020-05-25 2021-04-06 齐鲁工业大学 一种基于自适应集成深度学习模型的sc-fde系统信号检测方法
WO2021245741A1 (ja) * 2020-06-01 2021-12-09 信越半導体株式会社 ウェーハ外周歪みの評価方法
US20210389126A1 (en) * 2020-06-12 2021-12-16 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Surface Profile Mapping for Evaluating III-N Device Performance and Yield
US20220399182A1 (en) * 2020-06-15 2022-12-15 Hitachi High-Tech Corporation Apparatus diagnostic apparatus, apparatus diagnostic method, plasma processing apparatus and semiconductor device manufacturing system
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
TW202229581A (zh) * 2020-08-28 2022-08-01 日商東京威力科創股份有限公司 成膜裝置、及具有含矽的膜之部件的製造方法
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process
US20220199544A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Cap structure for interconnect dielectrics and methods of fabrication
CN112579512B (zh) * 2020-12-24 2023-04-18 中国航空工业集团公司西安航空计算技术研究所 一种机载嵌入式智能微处理系统
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
CN112750738B (zh) * 2021-01-18 2024-02-23 中国电子科技集团公司第四十八研究所 一种离子束刻蚀设备及其刻蚀方法
CN112420543B (zh) * 2021-01-22 2021-04-16 山东元旭光电股份有限公司 一种晶圆自动检测线
US20220236051A1 (en) * 2021-01-25 2022-07-28 Changxin Memory Technologies, Inc. Method for detecting etching defects of etching equipment
US20220238300A1 (en) * 2021-01-26 2022-07-28 Applied Materials, Inc. Rating substrate support assemblies based on impedance circuit electron flow
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US20220258304A1 (en) * 2021-02-16 2022-08-18 Applied Materials, Inc. Methods and apparatus for processing a substrate
JP2022133631A (ja) * 2021-03-02 2022-09-14 株式会社東京精密 パーティクル計測装置、三次元形状測定装置、プローバ装置、パーティクル計測システム及びパーティクル計測方法
TW202242958A (zh) * 2021-03-02 2022-11-01 日商東京威力科創股份有限公司 資料收集系統、資料收集裝置、資料收集方法及資料收集程式
TWI787757B (zh) * 2021-03-15 2022-12-21 高聖精密機電股份有限公司 智能加工系統及其加工方法
JP7366952B2 (ja) * 2021-03-23 2023-10-23 芝浦メカトロニクス株式会社 プラズマ処理装置の検査方法
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
TWI788855B (zh) * 2021-05-25 2023-01-01 旺宏電子股份有限公司 偵測系統
KR102545754B1 (ko) * 2021-05-27 2023-06-20 세메스 주식회사 기판 처리 장치 및 기판 상태 검출 방법
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using
TWI819318B (zh) * 2021-06-17 2023-10-21 台達電子工業股份有限公司 機台監控裝置以及方法
CN113253037B (zh) * 2021-06-22 2021-10-08 北京赛博联物科技有限公司 基于电流波纹的边云协同设备状态监测方法及系统、介质
CN115599620A (zh) * 2021-06-28 2023-01-13 深圳富桂精密工业有限公司(Cn) 监控告警方法及终端设备
CN113538392B (zh) * 2021-07-26 2022-11-11 长江存储科技有限责任公司 晶圆的检测方法、设备及存储介质
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
US11983476B2 (en) * 2021-07-30 2024-05-14 International Business Machines Corporation Technology-independent line end routing
US11966682B2 (en) 2021-07-30 2024-04-23 International Business Machines Corporation Fast independent checker for extreme ultraviolet (EUV) routing
WO2023025506A1 (en) * 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
CN113705487B (zh) * 2021-08-31 2023-08-08 西南交通大学 精密工件识别及工艺参数关联系统以及识别方法
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot
US20230080707A1 (en) * 2021-09-13 2023-03-16 Advanced Energy Industries, Inc. Model reference adaptive control with signum projection tensor operations
US11866831B2 (en) 2021-11-09 2024-01-09 Tokyo Electron Limited Methods for wet atomic layer etching of copper
JP7200346B1 (ja) 2021-12-22 2023-01-06 Sppテクノロジーズ株式会社 プログラム、情報処理方法、情報処理装置及びモデルの生成方法
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers
TWI819578B (zh) * 2022-04-20 2023-10-21 國立中央大學 多目標參數最佳化系統、方法及電腦程式產品
US20230341841A1 (en) * 2022-04-24 2023-10-26 Applied Materials, Inc. Bayesian decomposition for mismatched performances in semiconductor equipment
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
US20230378006A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. In-situ integrated wafer parameter detection system
CN114817077B (zh) * 2022-06-27 2022-09-06 云账户技术(天津)有限公司 测试质量及规范的判断方法、系统和网络设备
WO2024091865A1 (en) * 2022-10-24 2024-05-02 Ohio State Innovation Foundation Method for backward failure propagation in conceptual system design

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200737290A (en) * 2001-08-31 2007-10-01 Applied Materials Inc Method of a single wafer wet/dry cleaning apparatus
TW200834778A (en) * 2006-12-13 2008-08-16 Applied Materials Inc Integrated vacuum metrology for cluster tool
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber
US20150118012A1 (en) * 2013-10-31 2015-04-30 Lam Research Corporation Wafer entry port with gas concentration attenuators
TW201642380A (zh) * 2015-04-23 2016-12-01 應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
TW201732984A (zh) * 2016-01-26 2017-09-16 應用材料股份有限公司 用於偵測基板處理系統內之一或更多個環境條件之存在的系統及方法
TW201801275A (zh) * 2016-03-21 2018-01-01 高通公司 在積體電路之互連結構中形成自對準垂直互連接取

Family Cites Families (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5963315A (en) * 1997-08-18 1999-10-05 Motorola, Inc. Method and apparatus for processing a semiconductor wafer on a robotic track having access to in situ wafer backside particle detection
JPH11307604A (ja) * 1998-04-17 1999-11-05 Toshiba Corp プロセスモニタ方法及びプロセス装置
US6830942B1 (en) * 1999-04-06 2004-12-14 Lucent Technologies Inc. Method for processing silicon workpieces using hybrid optical thermometer system
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
TWI275436B (en) * 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US20040126482A1 (en) 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
KR20090035578A (ko) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기
JP2009064726A (ja) 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板検査装置及び基板検査方法並びに記憶媒体
US20090112520A1 (en) * 2007-10-30 2009-04-30 Applied Materials, Inc. Self-aware semiconductor equipment
JP4784599B2 (ja) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
US8198188B1 (en) * 2008-01-28 2012-06-12 Cadence Design Systems, Inc. Self-aligned VIAS for semiconductor devices
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
JP6079200B2 (ja) * 2012-05-16 2017-02-15 東京エレクトロン株式会社 クーリング機構及び処理システム
WO2014113220A1 (en) * 2013-01-15 2014-07-24 Applied Materials, Inc Cryogenic liquid cleaning apparatus and methods
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
US9721792B2 (en) * 2013-09-16 2017-08-01 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9059257B2 (en) * 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US9899635B2 (en) * 2014-02-04 2018-02-20 Applied Materials, Inc. System for depositing one or more layers on a substrate supported by a carrier and method using the same
US9419107B2 (en) 2014-06-19 2016-08-16 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
JP5993496B2 (ja) * 2014-07-16 2016-09-14 株式会社神戸製鋼所 酸化物半導体薄膜、及び前記酸化物半導体薄膜の表面に保護膜を有する積層体の品質評価方法、及び酸化物半導体薄膜の品質管理方法
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US10510566B2 (en) * 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
WO2017105447A1 (en) 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US20170256449A1 (en) * 2016-03-07 2017-09-07 Globalfoundries Inc. Methods of forming conductive structures with different material compositions in a metallization layer
US10020204B2 (en) 2016-03-10 2018-07-10 Applied Materials, Inc. Bottom processing
WO2017161236A1 (en) * 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US9748169B1 (en) * 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
US9847252B2 (en) * 2016-04-12 2017-12-19 Applied Materials, Inc. Methods for forming 2-dimensional self-aligned vias
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US10438825B2 (en) * 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
KR102331718B1 (ko) * 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
US10600688B2 (en) * 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10446659B2 (en) * 2017-10-13 2019-10-15 Globalfoundries Inc. Negative capacitance integration through a gate contact
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
KR20210014127A (ko) * 2018-06-27 2021-02-08 도쿄엘렉트론가부시키가이샤 선택적 이중층 유전체 재성장을 통한 완전 자기 정렬 비아
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200737290A (en) * 2001-08-31 2007-10-01 Applied Materials Inc Method of a single wafer wet/dry cleaning apparatus
TW200834778A (en) * 2006-12-13 2008-08-16 Applied Materials Inc Integrated vacuum metrology for cluster tool
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
US20100190343A1 (en) * 2009-01-28 2010-07-29 Asm America, Inc. Load lock having secondary isolation chamber
US20150118012A1 (en) * 2013-10-31 2015-04-30 Lam Research Corporation Wafer entry port with gas concentration attenuators
TW201642380A (zh) * 2015-04-23 2016-12-01 應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
TW201732984A (zh) * 2016-01-26 2017-09-16 應用材料股份有限公司 用於偵測基板處理系統內之一或更多個環境條件之存在的系統及方法
TW201801275A (zh) * 2016-03-21 2018-01-01 高通公司 在積體電路之互連結構中形成自對準垂直互連接取

Also Published As

Publication number Publication date
CN112074940A (zh) 2020-12-11
TW202004993A (zh) 2020-01-16
US20190295890A1 (en) 2019-09-26
US20200083070A1 (en) 2020-03-12
TW202021005A (zh) 2020-06-01
US20190295891A1 (en) 2019-09-26
US10916472B2 (en) 2021-02-09
US10923394B2 (en) 2021-02-16
US10861744B2 (en) 2020-12-08
TW201946188A (zh) 2019-12-01
KR20200123480A (ko) 2020-10-29
US20200081423A1 (en) 2020-03-12
US11456212B2 (en) 2022-09-27
US20190295887A1 (en) 2019-09-26
US20210125863A1 (en) 2021-04-29
TW201946180A (zh) 2019-12-01
TWI815870B (zh) 2023-09-21
WO2019182913A1 (en) 2019-09-26
KR20200111637A (ko) 2020-09-29
SG11202009105YA (en) 2020-10-29
KR20200123854A (ko) 2020-10-30
US11594451B2 (en) 2023-02-28
US11101173B2 (en) 2021-08-24
US20200083074A1 (en) 2020-03-12
JP7348440B2 (ja) 2023-09-21
TWI794451B (zh) 2023-03-01
JP2021518674A (ja) 2021-08-02
US20210118730A1 (en) 2021-04-22
US10886173B2 (en) 2021-01-05
WO2019183035A1 (en) 2019-09-26
TW201941328A (zh) 2019-10-16
TW201946179A (zh) 2019-12-01
US20200083080A1 (en) 2020-03-12

Similar Documents

Publication Publication Date Title
TWI813647B (zh) 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法
TWI835781B (zh) 用於整合型頭尾相接式自對準多重圖案化製程之操作方法
US10741407B2 (en) Reduction of sidewall notching for high aspect ratio 3D NAND etch
US11302588B2 (en) Platform and method of operating for integrated end-to-end area-selective deposition process
US20030220708A1 (en) Integrated equipment set for forming shallow trench isolation regions
JP2011091362A (ja) 半導体装置の製造方法及び基板処理装置
TW201946118A (zh) 整合型頭尾相接式閘極接點製程用之操作站台及方法
US20070107749A1 (en) Process chamber cleaning method
TWI841732B (zh) 用於對準遮罩和基板的方法
US20220351980A1 (en) Waterborne dispersion composition
JPH10242034A (ja) 荷電粒子線装置の露光方法およびそれを用いた半導体集積回路装置の製造方法