TW201941328A - 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法 - Google Patents

結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法 Download PDF

Info

Publication number
TW201941328A
TW201941328A TW108109299A TW108109299A TW201941328A TW 201941328 A TW201941328 A TW 201941328A TW 108109299 A TW108109299 A TW 108109299A TW 108109299 A TW108109299 A TW 108109299A TW 201941328 A TW201941328 A TW 201941328A
Authority
TW
Taiwan
Prior art keywords
workpiece
measurement
processing
module
manufacturing
Prior art date
Application number
TW108109299A
Other languages
English (en)
Other versions
TWI794451B (zh
Inventor
羅伯特 克拉克
坎達巴拉 泰伯利
傑夫 史密斯
安潔莉 萊利
趙強
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201941328A publication Critical patent/TW201941328A/zh
Application granted granted Critical
Publication of TWI794451B publication Critical patent/TWI794451B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B13/00Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion
    • G05B13/02Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric
    • G05B13/0265Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion
    • G05B13/027Adaptive control systems, i.e. systems automatically adjusting themselves to have a performance which is optimum according to some preassigned criterion electric the criterion being a learning criterion using neural networks only
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS] or computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67288Monitoring of warpage, curvature, damage, defects or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/24Vacuum evaporation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/32Operator till task planning
    • G05B2219/32368Quality control
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/80Management or planning

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Automation & Control Theory (AREA)
  • Artificial Intelligence (AREA)
  • General Chemical & Material Sciences (AREA)
  • Evolutionary Computation (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Medical Informatics (AREA)
  • Inorganic Chemistry (AREA)
  • Software Systems (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Health & Medical Sciences (AREA)
  • Robotics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Junction Field-Effect Transistors (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Factory Administration (AREA)

Abstract

本揭示內容有關在半導體處理序列中處理及量測工件而不離開系統之受控環境(例如次大氣壓)的大量製造系統之使用方法。系統包含主動阻絕控制系統,以在偵測到不合格性時在系統內實施修正性處理。修正性處理方法可包含補救製程序列,以在後續製程期間修正不合格性、或補償不合格性。不合格性可關聯於製造量測資料、製程參數資料、及/或平台效能資料。

Description

結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
本發明關於基板處理,且尤其關於經配置而用於在提供修正性處理用之有效率平台中執行整合式基板處理與基板量測及計量的整合式基板處理系統及模組。

[相關申請案的交互參照]
本申請案主張2018年3月20日申請且題為「Substrate Processing Tool with Integrated Metrology and Method of Using」之美國臨時專利申請案第62/645,685號、2019年1月2日申請且題為「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using the same」之美國臨時專利申請案第62/787,607號、2019年1月2日申請且題為「Self-Aware and Correcting Heterogeneous Platform incorporating Integrated Semiconductor Processing Modules and Method for using the same」之美國臨時專利申請案第62/787,608號、及2019年1月4日申請且題為「Substrate Processing Tool with Integrated Metrology and Method of using」之美國臨時專利申請案第62/788,195號之權利,其係於此整體併入做為參考。
半導體製造工業對於形成在基板上之元件結構之更佳良率及漸增複雜度的需求上正經由另一革命而發展。再者,該工業受諸多元件製造用製程的漸增之電腦化及數位化所驅動。
更具體而言,在用於形成積體電路之基板的處理中,增加良率並增加製造程序中之效率及產能已變得更加關鍵性。如此效率係實現於在減少之花費在製造程序中之時間、更準確且無錯誤之製程、以及減少之造成如此改善的成本。吾人進一步期望判定處理步驟妥適地進行,及所產生之諸多層及特徵部具有適當的尺寸、對準及一致性。亦即,錯誤可越快被偵測並例如藉由在進一步之處理中修正或改善或將基板退出而加以處置,製程便變得越有效率。
不僅良率必須維持並增加,且其必須發生在更小且更複雜元件的製造程序內。舉例而言,當製造例如電晶體之較小電路時,圖案化特徵部之臨界尺寸(CD)或解析度逐漸變得難以產生。自對準圖案化需要取代覆蓋推進(overlay-driven)圖案化,使得具有成本效益的縮放即使在引入極紫外光(EUV)微影之後仍可持續。實現減低之變異性、擴展縮放以及增進之CD與製程控制的圖案化受到需要。然而,已變得相當難以在合理低成本下產出經縮放之元件。選擇性沉積結合選擇性蝕刻可顯著降低關聯於先進圖案化的成本。薄膜之選擇性沉積,例如間隙填充、介電質及金屬在特定基板上之區域選擇性沉積、及選擇性硬遮罩,為高度縮放技術中之圖案化裡的關鍵步驟。
在此製造技術的情況下,必須監測諸多製程以確保蝕刻及沉積步驟在規格內,並偵測製程中的變異。製造程序中的變異可包含從製造程序之意圖或設計目標規格的偏差。一般而言,變異的來源可分類為圖案或元件中的例如顆粒汙染之缺陷、或參數變異或不合格性。如此參數變異包含CD、輪廓、深度、厚度等之中的偏移。如此變異可發生為批量間變異、(批量內)基板間變異、基板內變異、及晶粒內變異。
因此,元件製造者目前使用大量製造資源來修飾及監測諸多製程。然而,如此資源對產能及生產並無貢獻,且因此對於製造者而言純粹為成本。再者,當製程超出規格、且基板的特徵部未妥適製造時,可能必須從生產過程移除基板。目前,為了修飾及監測製造程序,元件製造者採用諸多單獨的量測及/或計量步驟。採用了製程步驟之間、或重要製程序列之間之計量步驟的實施,但目前涉及妥協基板及製程環境控制。
具體而言,對於目前的計量步驟,將基板從處於真空下的處理環境移除、在大氣下移動至計量系統或計量站、且然後返回處理環境。在處理步驟之間及處理腔室之間之傳統量測的情況下,空氣及汙染物暴露於製程及基板。此可能化學性或以其他方式改變受處理層之一或更多者。此亦在任何量測中引入不確定性,其中必須將基板攜出真空或其他受控環境並然後導入計量站。因此,製造者可能不確定其是否正在量測其以為正在量測的參數。由此,在三維元件/架構中之較小特徵部尺寸的情況下,目前的監測技術與量測及計量程序並無法勝任。
再更進一步,由於計量程序對於生產循環為侵入性,並限制製造程序的效率及產能,所以如此計量步驟被減至最少以不顯著影響產能。因此,可能經常在特定製程超出規格與察覺該事實之間的時間上有延遲。此進一步不利地影響良率。
目前製造程序之情況下的額外缺點為需要頻繁從例如具有沉積模組之系統的平台移除基板、並運送至例如具有蝕刻模組或一些其他處理模組之系統的其他平台。由於製造涉及諸多沉積及蝕刻及其他處理步驟的大量序列,所以對於從系統移除基板、運送、重新導入至另一系統、重新施以真空或一些其他受控環境的需求在製程中引入進一步的時間及成本。中介之量測或計量程序僅使用於製造的時間及成本惡化。頻繁地從受控環境移除並運送亦進一步引入基板損壞及汙染的發生。
再更進一步,如可察知,對於沉積步驟、蝕刻步驟及其他處理步驟所涉及的諸多系統及平台、以及獨立的量測/計量系統在無塵室環境內產生顯著的硬體覆蓋區,其中不動產或樓層空間已然昂貴且稀少。
因此,期望改善涉及較小電路元件及特徵部的基板處理,同時維持生產期間修飾及監測製程的能力。期望減少製造期間其中將基板帶離真空至大氣、然後必須接著將其在真空下放回處理腔室以供進一步處理的時間點數量。進一步期望減少製程或基板超出規格與由製造者察覺問題之間的延遲時間,以使製造者可更快因應。進一步期望使設備自動化並使用製程資料來減少製造程序中的人力介入,導致規範上的最佳化及完整決策自動化。
因此,對於處置目前製造程序及設備平台中之缺點有整體需求。
本揭示內容關於結合整合式計量儀器的大量製造平台,以在工件於平台之處理腔室中受處理之前及/或之後量測工件。連接至處理腔室的轉移腔室係與計量感測器結合,以使量測得以在平台且非獨立計量工具內完成。所量測的資料可偵測由平台上之先前處理或先前處理工具導致的不合格工件屬性。平台亦可監測製程效能資料,以基於原位或異地處理量測而偵測製程不合格性。所量測及/或監測的資料可由主動阻絕控制系統使用,以實施修正性製程序列來補救或補償不合格性。
在一實施例中,由平台採取的補救性或修正性措施可在透過設置在共用製造平台上之複數個處理模組處理微電子工件時實施。平台可收集工件的製造量測資料,其可包含由在共用製造平台上執行之製程序列產生或形成的工件之屬性。主動阻絕控制系統可分析量測資料或原位製程資料,以偵測工件或製程的任何不合格性。所量測的資料可偵測工件屬性的超出容限狀況,該屬性包含顆粒、厚度、臨界尺寸、表面粗糙度、膜或表面組成、特徵部輪廓、圖案邊緣放置、孔隙、選擇性的損失、不均勻性之程度、或負載效應、或其二或更多者的組合。當偵測到不合格性時,主動阻絕系統將阻絕製程序列,以在共用製造平台內執行修正性處理。在一些實例中,修正性措施包含將工件暴露於補救製程序列以修正不合格性。或者修正性措施包含將工件暴露於調整製程序列以修改不合格性或補償不合格性。
依據在此所述實施例,設備模組係整合於共用製造平台上,以利於關鍵完整製程流程而不中斷真空或受控環境,此係無法以其他方式在習知平台上達成。共用平台將異質設備及處理模組與計量或量測模組整合,該計量或量測模組在不中斷真空或受控環境的情況下,監測製程步驟之間的基板製造機進度。經整合之計量或量測部件與原位診斷及虛擬計量設備模組一起收集晶圓上資料、並收集製程序列流程內之上游及下游設備資料。將該資料與設備及製程控制模型結合,以產生用於預測及偵測錯誤、預測維護、使製程變異穩定化、及修正製程的可據以採取行動之資訊,以達成生產力及良率。為了建立設備及製程控制模型,將所有資料整合(亦即來自設備模組日誌、轉移模組日誌、平台日誌、工廠主機等的資料),並與包含深度學習演算法的分析技術結合,以理解設備及製程控制參數與基板或晶圓上之處理結果之間的關係。可部分設置在共用平台中的主動阻絕控制系統在上游及下游處理模組中執行修正性處理,以處置所偵測到的不合格性、缺陷、或其他變異。
依據本發明,利用建構在設備、資料、及知識、已建立之製程技術、包含虛擬計量資料之感測器及計量資料的階層知識庫提供資料運用,以監測設備及製程狀態。資料處理技術及演算法訣竅、和製程及設備模型係用以將設備及製程控制參數連結至良率及生產力。可發展出整體設備及製程控制模型。製程模擬、量測及計量資料和診斷、以及資料分析導致可改善設備可用時間、使製程最佳化、並控制變異的預測性及預防性處理和行動。此改善良率及生產力。在諸多優點中,本發明可將所收集的資料用於提供虛擬計量(VM)、用以監測並控制製程變異的批次(run-to-run,R2R)控制、用以警示操作者設備及/或製程正運作超出控制極限的統計製程控制(statistical process control,SPC)、先進製程控制(advanced process control,APC)、錯誤偵測及分類(fault detection and classification,FDC)、錯誤預測、設備健康度監測(equipment health monitoring,EHM)、預測性維護(predictive maintenance,PM)、預測性排程、良率預測。
本發明的實施例敘述配置成執行整合式基板處理及基板計量之處理模組及工具的平台、以及處理基板或工件的方法。於此,受處理的工件可稱為「工件」、「基板」或「晶圓」。正受處理之工件係保持在真空下。亦即,在處理之前、期間或之後,量測/計量程序及模組係與將於真空環境中使用的處理模組及系統、處理腔室及工具、及整體製造平台整合在一起,以供收集關聯於工件上屬性的資料,例如工件表面、特徵部、及其上之元件的屬性。接著將所收集之量測/計量資料用以即時性相關於處理步驟對處理步驟、處理模組操作、及整體處理系統產生作用。本發明將修正性地對系統之處理步驟/處理模組中的一或更多者進行調適或調諧、或以其他方式產生作用,以將基板保持在規格內,或修正超出規格的特徵部或層。系統步驟及模組不僅在處理中前向地受影響,且先前的處理步驟及模組亦可透過系統中的回饋加以調適,以針對未來的基板修正處理步驟或製程腔室。本發明可透過最近的處理步驟(例如蝕刻步驟或膜形成或沉積步驟)處理基板,且然後立即收集量測/計量資料。當在此使用時,將量測資料/步驟及計量資料/步驟稱為等同於依據本發明而量測之概括平均資料。然後將該資料加以處理以偵測不合格性或缺陷,且可對未來的處理步驟加以作用,以採取任何必要修正性行動來處置以某些方式被察覺超出規格或缺陷性之基板。舉例而言,未來的處理步驟可包含使基板返回前一處理模組、對另一處理腔室中之未來處理步驟產生作用以處理量測/計量資料、或在處理序列中引入一或更多額外處理步驟以使基板回到規格中。若計量資料判定基板可能無法進一步加以處理而使其回到規格中或修正不合格性,可在製程中更早將該基板從製造平台退出,以避免不必要的進一步處理。
為了說明的目的,提出具體數目、材料、及配置以提供對本發明之透徹理解。然而,本發明可在不具有特定細節的情況下實施。再者,吾人理解圖中所示之諸多實施例係示意性的代表圖,且未必按比例繪製。在參照圖式時,類似的編號指示各處的類似部件。
本說明書各處對於「一實施例」或「實施例」或其變形的提及意指相關於該實施例而敘述的特定特徵、結構、材料或特性係包含在本發明之至少一實施例中,但並不表示其呈現在每一實施例中,因此,例如可出現在遍及本說明書之諸多處的詞語「在一實施例中」或「在實施例中」未必指示本發明的相同實施例。再者,特定特徵、結構、材料、或特性可在一或更多實施例中以任何合適的方式結合。在其他實施例中,諸多額外層及/或結構可包含在內,且/或可省略所述特徵。
此外,應理解除非另外明確陳述,否則「一」可意指「一或更多」。
諸多操作將以最有助於理解本發明的方式敘述為依序的複數單獨操作。然而,敘述的順序不應解讀為用以暗示這些操作必須為順序相依。尤其,這些操作不需以所呈現的順序執行。所述操作可以不同於所述實施例的順序執行。在額外的實施例中,可執行諸多額外操作,且/或可省略所述操作。
當在此使用時,用語「基板」意指且包含材料形成於其上的基底材料或構造。吾人將察知,基板可包含單一材料、複數層不同材料、其中具有不同材料或不同結構之區域的一層或複數層等。這些材料可包含半導體、絕緣體、導體、或其組合。舉例而言,基板可為半導體基板、支撐結構上的基底半導體層、金屬電極或具有一或更多層、結構或區域形成於其上的半導體基板。基板可為習知矽基板或包含半傳導性材料層的其他主體基板。當在此使用時,用語「主體基板」不僅意指且包含矽晶圓、且亦意指且包含例如藍寶石上矽(silicon-on-sapphire,SOS)基板及玻璃上矽(silicon-on-glass,SOG)基板的絕緣體上矽(silicon-on-insulator,SOI)基板、基底半導體基礎上的磊晶矽層、及其他半導體或光電材料,例如矽鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可經摻雜或未經摻雜。
當在此使用時,用語「工件」可更概括地指半導體元件製造程序之一或更多時期的期間形成於基板上之材料或層的組成物,該工件在處理的最後階段最終包含(複數)半導體元件。在任何方面,用語「工件」、「基板」或「晶圓」對於本發明非限制性。
本實施例包含採用共用製造平台的方法,其中例如在操作之間不破壞真空的情況下,複數製程步驟於受控環境內在共用平台上執行。整合式全程平台包含蝕刻模組及膜形成模組兩者,且係配置成將工件從一模組轉移到另一模組,同時將工件維持在受控環境中(例如不破壞真空或不離開惰性氣體保護性環境),且因此避免暴露至周圍環境。諸多製程的任何者可在共用製造平台上執行,且整合式全程平台將實現具有在良率、缺陷程度及EPE方面之改善的在降低之成本下的大量製造。
當在此使用時,「膜形成模組」意指用於在製程腔室中於工件上沉積或生長膜或層之任何類型的處理工具。膜形成模組可為單一晶圓工具、批次處理工具、或半批次處理工具。可在膜形成模組中執行之膜形成或生長的類型包括,例如但不限於,化學氣相沉積、電漿增強或電漿輔助化學氣相沉積、原子層沉積、物理氣相沉積、熱氧化或氮化等,且製程可為等向性、非等向性、保形、選擇性、全面覆蓋等。
當在此使用時,「蝕刻模組」意指用於在製程腔室中移除工件上之膜、層、殘留物或汙染物的全部或一部分之任何類型的處理工具。蝕刻模組可為單一晶圓工具、批次處理工具、或半批次處理工具。可在蝕刻模組中執行的蝕刻類型包括,例如但不限於,化學氧化物移除(COR)、乾式(電漿)蝕刻、反應性離子蝕刻、使用浸沒或非浸沒技術的濕式蝕刻、原子層蝕刻、化學機械拋光、清潔、灰化、微影等,且製程可為等向性、非等向性、選擇性等。
當在此使用時,「模組」概略地意指集合性具有其全部硬體及軟體的處理工具,包括製程腔室、基板固持件及移動機構、氣體供給及分配系統、泵送系統、電系統及控制器等。如此模組之細節係所屬領域中已知,且因此在此不加以討論。
「受控環境」在此使用時意指其中周圍大氣被排空且以純化惰性氣體或低壓真空環境取代的環境。真空環境係遠低於大氣壓力且被概略理解為100托(Torr)以下,例如5托以下。
圖1顯示可利用本發明加以改善的參考用典型半導體製造程序100之範例。在製造程序本身之前,產生半導體工件或基板及形成於其中之微電子元件的整體設計102。從設計產生布局,且該布局包含成組圖案,其將在處理序列中被轉移至堆疊材料層以在基板上形成諸多電路及元件,該堆疊材料層係於半導體工件之製造期間施加至該半導體工件。由於設計/處理序列102影響並左右製造程序的諸多部分,因此繪示總箭頭104指向製造程序而非其特定步驟。
製造程序100說明一例示製程流程或處理序列,其被使用數次以在基板上沉積或形成膜,並使用諸多微影及蝕刻技術將該膜圖案化。如此常用製造步驟及製程對於所屬領域中具有通常知識者而言為已知,且各製程可具有與之關聯的處理模組或工具。舉例而言,參照圖1,方法可包含膜形成或沉積製程110以在工件上形成一或更多層。然後在使用光微影製程114暴露至圖案化光波長之前,可在軌道製程112中將該層以光敏感性材料塗佈。然後使用另一軌道製程116使光敏感性材料顯影,以在光敏感性材料中形成暴露下方工件或膜的圖案。接著,可將經曝光的圖案用作模板,以移除下方工件或膜的暴露部分,該等暴露部分係藉由使用移除或蝕刻製程118在圖案中被移除。以此方式,將從光微影製程114曝光的圖案轉移至工件或覆蓋工件的膜之一或更多者。在一些情形中,可使用清潔製程120將工件加以清潔,以移除光敏感性材料或清潔新圖案化的特徵部,而為後續處理做準備。
對於膜形成或沉積製程而言,用語「膜形成」將為了一致性而一般性地在此使用。對於膜移除而言,將使用用語「蝕刻」,且對於清潔移除製程而言,將使用用語「清潔」。在適當情況下,圖式可能為了說明清楚或便利起見而使用其他指示方式。
如所繪示,範例製造程序100表示半導體工件上之單一層的製造。箭頭130指示製造程序涉及在序列中執行處理步驟複數遍,其造成複數圖案層堆疊以在基板上形成元件。雖然單一層的製造在此係以特定順序敘述,但在單一層的製造期間略過一些步驟及重複其他步驟並非罕見。再者,如所屬領域中具有通常知識者將理解,可採用膜形成、蝕刻、及清潔以外的更多步驟。更進一步,膜形成或蝕刻程序之步驟的各者可包含諸多特定步驟。因此,圖1的例示說明性製程對於本發明並非限制性。
舉例而言,所論及的沉積製程110採用生長、塗佈、或以其他方式形成或轉移材料膜至工件上的沉積模組/工具。沉積製程可採用一或更多技術及方法來完成此作業。膜形成或沉積技術的範例包含物理氣相沉積(PVD)、化學氣相沉積(CVD)、電化學沉積(ECD)、分子束磊晶(MBE)、原子層沉積(ALD)、自組裝單層(SAM)沉積等。再者,這些沉積技術可藉由產生電漿來影響發生於基板表面處之製程的化學反應性而加以補足或增強。
光微影製程114採取用以將圖案從光罩轉移至工件之表面的光微影模組/工具。圖案資訊係記錄於施加在工件上的光阻層上。當暴露至光(通常為紫外光)或另一照明來源(例如X射線)時,光阻改變其物理性質。光阻係藉由(濕式或乾式)蝕刻或藉由經由其本身之曝光轉變為揮發性化合物而顯影。取決於光阻之類型為正型或負型,由遮罩所定義的圖案在顯影之後被移除或留下。舉例而言,經顯影之光阻可做為用於下方層的蝕刻遮罩。
典型地,軌道製程112包含使用針對光微影製程或曝光而製備工件的軌道模組/工具。此可涉及工件的清潔或在工件上增加塗層或膜。塗層可包含光敏感性材料(典型稱為光阻),該光敏感性材料在光微影製程114中藉由通過遮罩而暴露的光而改變。類似地,在典型使光阻顯影以形成可暴露下方工件之部分之圖案的光微影製程114之後,軌道製程116可使用處理工件的工具。通常,此涉及針對製造中之下一步驟的微影後清潔或製備。
蝕刻製程118包含用以在工件的表面上選擇性移除材料以在其上產生圖案的蝕刻模組/工具。典型地,材料係藉由濕式蝕刻(亦即化學性)或乾式蝕刻(亦即化學性及/或物理性)加以選擇性移除。乾式蝕刻的範例包含但不限於電漿蝕刻。電漿蝕刻涉及形成暴露至工件之適當氣體混合物(取決於受蝕刻之膜的類型)的電漿。電漿包含呈氣相的帶電(離子及自由電子)及中性(分子、原子、及自由基)物種,該等物種與基板或層動力學性交互作用,以移除基板或層的部分,尤其是由上方微影圖案所暴露的部分。
清潔製程120可包含用以清潔工件(例如移除光阻)及/或針對下一層之施加或沉積製備工件的清潔模組/工具。典型地,清潔製程移除工件上的顆粒及雜質,且可為乾式清潔製程或濕式清潔製程。
依據本發明之一實施例,製造量測或計量資料係於如圖1所示之諸多基板製造程序之的一或更多者之後擷取。當在此使用時,從工件擷取的資料稱為量測資料或計量資料。量測資料係利用可如在此討論結合於共用製造平台上之分離計量腔室內的一或更多量測模組或計量模組、或使用結合於工件轉移模組內的量測模組/計量模組加以擷取,該工件轉移模組在執行圖1所示之諸多步驟之處理模組的一或更多者之間移動工件。依據本發明之一特徵,在擷取量測/計量資料期間,基板係維持在受控環境中,例如在真空下。如用於例如圖2所示之製造平台內的量測/計量模組/工具係設計成量測關聯於工件之屬性或關於工件之特徵部之屬性的資料,以量測可以其他方式加以量測者,例如工件上之材料層、賦予工件上之圖案、或基板上製造的諸多元件之尺寸或對準。當由量測模組/工具執行時,量測製程可利用在共用製造平台上執行之複數工件處理步驟的一或更多者而實施。再者,基於何處需要資料來改善或修正製程,計量量測模組或工具可在製程內的諸多時點及/或共用製造平台內之多個位置使用。舉例而言,量測模組的位置可位於平台內且鄰近若干處理模組,或跟隨可能易於出錯的若干製程,以快速評定關於一或更多層的規格及正在工件上製造之特徵部的屬性。
依據本發明之一實施例,用於工件之處理且用於電子元件之製造的半導體製造平台包含設置在共用製造平台上的複數處理模組。處理模組係配置成促進不同製程,並依據經定義的處理序列在複數處理步驟中控制工件上的材料。更具體而言,處理模組可包含用於在工件上沉積材料層之一或更多膜形成模組、及用於選擇性移除材料層的一或更多蝕刻模組。例如清潔或軌道或光微影模組的其他模組亦可包含在共用平台中。當在此使用時,用語「處理模組」或「模組」係用以意指將大致包含將容納一或更多工件之一或更多處理腔室、以及用於處理的支撐性及圍繞之基礎結構和部件的處理系統,該基礎結構和部件係例如氣體供給部、分配系統、RF(射頻)電力供給部、DC(直流)電壓供給部、偏壓電力供給部、基板支撐件、基板夾持機構、基板及腔室部件溫度控制元件等。
在共用平台上,一或更多計量或量測模組係與處理模組設置在一起。量測模組係配置成提供關聯於工件之一或複數屬性的量測資料。為了該目的,量測模組包含可操作而用於量測關聯於工件之屬性的資料之一或更多檢驗系統。一般而言,量測模組將定位並設置在共用平台中並與處理模組在一起,以在工件於平台中之處理模組內受處理之前及/或之後進行量測。
當在此揭示時,用語「計量模組」或「量測模組」意指可在工件上進行量測以偵測或判定工件上諸多不合格性或變異(例如參數變異)、或者偵測或判定工件上缺陷(例如某種汙染物)的模組/系統/感測器/工具。當在此使用時,用語「檢驗系統」將大致意指量測並收集關聯於量測之資料或訊號的量測製程或模組之工具或系統。量測模組將進行量測並提供用於如在此進一步討論之處理平台的資料。為了此處的一致性,將使用用語「量測模組」,但其非限制性,且大致意指用以偵測及量測工件之屬性的量測或計量或感測工具,該屬性指示工件和正於其上形成之層及元件的處理。
為了在平台中及在諸多處理模組之間移動工件,共用製造平台總體上將結合一或更多工件轉移模組,該一或更多工件轉移模組係設置在共用平台上且配置成用於處理模組及(複數)量測模組之間的工件之移動。類似於處理模組,量測模組可與工件轉移模組耦接。在本發明的一些實施例中,如在此揭示,量測模組或與其關聯之檢驗系統係與轉移模組結合、或整合於轉移模組內部,以在工件移動於處理模組之間時提供量測或計量。舉例而言,量測模組或其一部分可定位於轉移模組之內部空間之內。在此,組合式轉移及量測設備將被稱為轉移量測模組。
在本發明之一實施例中,共用平台包含處理腔室及量測模組兩者,該量測模組係由系統主動控制,該系統處理量測到的關聯於處理序列中之工件上之屬性的資料,並將量測到的資料用於在處理序列中控制工件的移動及處理。依據本發明,控制系統使用量測到的資料及其他資料,以部分基於量測到的資料執行修正性處理,俾提供處理序列的主動阻絕,以修正不合格性或缺陷。更具體而言,主動阻絕控制系統係設置於共用製造平台上,且配置成部分基於量測到的資料執行修正性處理,其中工件的修正性處理可在平台的處於製程序列之上游或下游之處理模組中執行,以處理偵測到不合格性或缺陷的情況。在本發明的實施例中,將工件維持在受控環境中,例如在真空下。亦即,在共用製造平台上,處理模組及量測模組在受控環境中運作,且工件轉移模組於處理序列中在複數處理模組之間、以及一或更多量測模組之間轉移工件,而不離開受控環境。
圖2及3說明結合具有與主動阻絕控制系統耦接之複數處理模組、一或更多量測模組、及一或更多轉移模組之共用平台200、300的例示系統。該等系統增進依據此處所述的本發明自半導體製造產出的功能性微電子元件之良率。圖2圖解式說明例示平台200,其促進計量資料的量測及將資料用於在依據此處所述之本發明的半導體製造期間改善或修正整體性層或特徵部不合格性或缺陷。例示平台200包含諸多處理模組,以執行以上所述且示於圖1的半導體製造程序100。在圖2中,諸多製程係在主動阻絕系統的控制下,由執行關於製造的作業或製程之不同所述模組以及量測模組和轉移模組一起呈現。
如所繪示,共用平台200的系統顯示平台的交互作用而非特定實體布局。平台200包含用於半導體製造程序之諸多製程的一或更多處理模組,例如沉積模組210、蝕刻模組218、清潔模組220、軌道模組212、216、及光微影模組214。如可察知,一或更多模組可以諸多方式結合至共用平台中,且因此圖式為示意性而非指示如何將元件/模組結合於平台上。平台200的系統更包含用於擷取量測資料的一或更多計量或量測模組202、204、206、以及至少部分基於所量測之資料利用所擷取之資料執行修正性處理以供改善製造程序的主動阻絕控制系統208。主動阻絕控制系統係與諸多量測系統耦接,且將處理關聯於工件上屬性之所量測資料,並將所量測資料用以偵測工件上的不合格性。主動阻絕控制系統接著控制工件的移動及處理,以提供處理序列中的修正或「修正性處理」。
在此所述的計量技術可僅與例示平台200、300的一部分結合,或與例示平台200、300的多個部分結合。亦即,舉例而言,此處所述之技術可僅結合於一製程或一製程工具(例如蝕刻模組218)周遭。或者,舉例而言,此處所述的主動阻絕控制技術可針對製程平台200、300中之複數製程和工具及系統而實施。舉例而言,修正性處理係至少部分經由製程序列中上游或下游之一或更多處理模組的操作而執行。
當在此使用時,用語「主動阻絕」大致意指實施時用於下列者的控制系統:相關於諸多製造程序即時擷取量測/計量資料以獲取工件屬性上的資料,並藉以偵測不合格性或缺陷、以及控制的修正性態樣,俾修正或改善不合格性或缺陷。主動阻絕控制系統使用資料,而藉由主動改變處理序列及/或執行製程步驟之模組的操作,來修正及改善半導體製造程序中的諸多不合格性。因此,主動阻絕控制系統亦與用以在整個製程移動工件的一或更多轉移模組222介接。圖2及3中所示的主動阻絕控制系統208協調資料收集及資料分析與偵測伴隨製造程序之不合格性,並進一步導引複數處理工具及處理腔室的活動,以處置被偵測到的不合格性或缺陷。主動阻絕控制系統總體上係由如此處所述之一或更多電腦或計算裝置所實施,該電腦或計算裝置運行特殊設計程式組,例如深度學習程式或自主性學習元件,其在此統稱為主動阻絕元件。如可察知,主動阻絕控制系統可結合複數程式/元件以協調來自諸多量測模組之資料收集與後續的分析。主動阻絕控制系統208與製造平台中的複數處理模組介接,以處置所量測到的諸多不合格性/缺陷,而修正或改善不合格性/缺陷。主動阻絕控制系統將藉此控制處理模組及處理序列的一或更多者,以達到本發明之期望結果。
本發明在共用平台內亦結合一或更多轉移模組222,以供依據經定義的處理序列在諸多處理模組之間轉移工件。為此,主動阻絕控制系統亦控制轉移模組,以在偵測到不合格性/缺陷時將工件移動至上游及/或下游處理模組。亦即,取決於偵測到何者,本發明的系統可將工件在處理序列中進一步向前移動、或可往回並導引工件至上游處理模組,以修正或利用其他方式處置所偵測到的不合格性或缺陷。由此,前饋及反饋機制係經由轉移模組提供,以提供本發明的主動阻絕。再者,處理序列可針對未來的工件在上游或下游受到作用。
本發明的主動阻絕特徵採取利用所收集之量測/計量資料的批量間、晶圓間、晶圓內及即時製程控制,而改善製造程序的效能、良率、產能、及彈性。所量測的資料係在不從處理環境移除工件/基板/晶圓的情況下於處理期間即時收集。依據本發明的一態樣,在共用平台中,可在基板保持在受控環境(例如真空)中時擷取所量測的資料。亦即,(複數)工件轉移模組係配置成在複數處理模組與量測模組之間轉移工件而不離開受控環境。主動阻絕控制可提供結合前饋及反饋機制而開發之多變量、基於模型的系統,以基於進入的工件以及模組或工具狀態性質自動判定針對每一工件的最佳配方。主動阻絕控制系統使用製造量測資料、製程模型及精密控制演算法來提供增進最終元件目標的中間製程目標之動態精細調整。阻絕系統使用如此處所述的類似之建構區塊、概念、及演算法,在共用製造平台上實現單一腔室、製程工具、多工具、製程模組、及多製程模組範圍的可擴展控制解決方案。
圖3為在共用製造平台上實施本發明之實施例之另一系統的示意圖。平台300結合複數處理模組/系統,以供在依據本發明之實施例的主動阻絕控制系統之控制下執行整合式工件處理及工件量測/計量。圖3說明本發明之實施例,其中一或更多基板量測模組係經由一或更多轉移模組與一或更多工件處理模組耦接在一起。依此方式,依據本發明之態樣,可對工件進行分析,以於工件留在處理系統及平台內時提供關聯於工件之屬性的量測資料,例如關於工件及形成於工件上之諸多薄膜、層及特徵部的材料性質。如此處所討論,可在例如蝕刻或沉積步驟之處理步驟完成時立即進行量測及分析,且所收集的量測資料可加以分析並接著在共用平台處理系統內使用,以相關於工件設計參數處置任何超出規格或不合格或代表缺陷的量測值或特徵。工件不需從共用處理或製造平台移除,且若有需要,可留在受控環境下。
參照圖3,依據本發明的共用製造平台300係以圖解方式說明。平台300包含用於將一或更多工件引進製造平台中的前端模組302。如所已知,前端模組(FEM)可結合固持工件的一或更多卡匣。前端模組可維持在大氣壓力下但利用惰性氣體排淨,以提供潔淨的環境。接著可如此處所討論,例如經由一或更多負載鎖腔室(未顯示)將一或更多基板轉移至轉移模組中。圖3的轉移模組為轉移量測模組(transfer measurement module, TMM),其包含結合於其內的量測工具或檢驗系統,以供從工件擷取資料。複數TMM 304a、304b可介接以透過期望的序列提供晶圓的移動。轉移量測模組304a、304b係與複數處理模組耦接。如此處理模組可提供諸多不同的處理步驟或功能,且可包含一或更多蝕刻模組306a、306b、一或更多沉積模組308a、308b、一或更多清潔模組310a、310b、及一或更多量測模組312a、312b、312c、312d。依據如此處進一步討論的本發明之實施例,量測模組可在各處理步驟之前或之後透過轉移量測模組304a、304b存取。在一實施例中,量測模組(例如312c、312d)係類似諸多處理模組,位於轉移量測模組304a、304b之外部且受存取以插入及接收工件。或者,例如量測模組312a、312b之量測模組或至少其一部分可位於個別的轉移模組中。更具體而言,量測模組312a、312b的所有或一部分係位於轉移量測模組304a、304b以定義轉移程序期間可定位工件以供量測的量測區域,量測區域係位於轉移模組的專用區域中,且可由用於定位工件的模組之轉移機構存取。如所述,此使轉移模組實質上為此處所討論的轉移量測模組(TMM)。
整體而言,轉移模組在其內定義容納轉移機器人的腔室,該轉移機器人能在真空下移動基板通過諸多閘閥及通路或轉移埠而進入諸多處理模組或量測模組。藉由將量測模組維持在共用製造平台300上,該等量測模組輕易地在處理步驟的一或更多者之間受到存取,以快速提供必要的量測分析資料,該量測分析資料將用以利用針對特定工件之基板設計規劃處置任何超出規格或以其他方式呈不合格的基板,或處置可偵測的缺陷。依此方式,提供即時資料以容許製造者及早察知系統中的問題,使得可在當前的處理序列中採取補救措施,例如在後續的處理步驟中、在先前的處理步驟中、及/或在未來的處理步驟中,取決於所擷取到的資料及所偵測到的不合格性或缺陷。依此方式,可增加生產性及效率,可降低製程監測費用,且可減少呈不合格或被退出之基板之形式的浪費之產品。此皆對製造者或元件製作者提供顯著的成本節省。
如所述,在結合主動阻絕控制系統322的本發明之一實施例中,一或更多量測模組係設置在具有處理模組的共用平台上,以供提供關於工件屬性的量測資料。該資料係由主動阻絕控制系統322用於偵測不合格性,並用於在偵測到不合格性時執行工件的修正性處理。當偵測到不合格性時,修正性處理係在製程序列的上游及/或下游執行。參照圖4,說明適用於實施本發明之共用平台400上的例示處理系統。處理系統結合用於積體電路及其他元件之製造的半導體處理之複數模組及處理工具。處理平台400結合一或更多計量/量測模組,該計量/量測模組係與處理模組一起結合在共用製造平台內。舉例而言,平台400可結合耦接至所示工件轉移模組的複數基板處理模組。在一些實施例中,量測模組或工具亦至少部分定位在基板轉移模組內部。由此,基板可受處理,且然後立刻轉移至量測模組,以收集關聯於工件之屬性的諸多製造資料,該製造資料進一步由主動阻絕控制系統所處理。主動阻絕控制系統從處理及量測模組收集資料,並透過選擇性移動工件及控制複數處理模組之一或更多者,來控制在共用製造平台上執行之製程序列。再者,平台400的處理系統可在不離開腔室之受控環境的情況下,在轉移模組之腔室內部及諸多處理模組與量測/計量模組之間轉移基板或其他工件。主動阻絕控制系統採用從自一或更多量測模組獲取之工件量測值所導出的資訊,經由諸多處理模組控制序列式製程流程。再者,主動阻絕控制系統結合處理模組原位量測值及資料,以經由平台400控制序列式製程流程。依據本發明,受控環境中獲取的基板上量測資料可單獨或結合原位處理模組量測資料,而用於製程流程控制及製程改善。
再參照圖4,平台400的系統包含前端轉移模組402以將工件導入系統。例示平台400表示安排在共用製造平台中且在工件轉移模組412之周邊的複數處理模組。平台400的系統包含卡匣模組404a、404b、及404c以及對準模組404d。負載鎖腔室406a、406b亦耦接至前端轉移模組402。前端轉移模組402係總體上維持在大氣壓力下,但可藉由利用惰性氣體排淨提供潔淨的環境。負載鎖腔室410a及410b係耦接至居中的工件轉移模組412,且可用於從前端轉移模組402轉移基板至工件轉移模組412以供平台中的處理。
工件轉移模組412可維持在極低基壓(例如5 ×10 -8托或更低),或不斷利用惰性氣體排淨。依據本發明,基板量測/計量模組416可運作於大氣壓力下或運作於真空條件下。依據一實施例,量測模組416係保持在真空條件,且晶圓在不離開真空的情況下在平台中受處理並受量測。如此處進一步揭示,計量模組可包含一或更多檢驗系統或分析工具,其能量測工件及/或沉積在工件上之薄膜及層或形成在工件上之元件的一或更多材料性質或屬性。當在此使用時,用語「屬性」係用以指示工件、工件上之層、工件上之特徵部或元件等的反映處理序列之處理品質的可量測特徵或性質。然後將量測到之關聯於屬性的資料用以藉由分析所量測到的資料以及其他原位處理資料透過主動阻絕控制系統調整製程序列。舉例而言,所量測到的屬性資料反映工件上的不合格性或缺陷而用於提供修正性處理 。
圖4及其中所示之平台顯示實質上單一量測模組416。然而,如吾人將理解且如此處進一步揭示,特定的處理平台400可結合複數結合在一或更多工件轉移模組(例如工件轉移模組412)周圍的如此量測模組。如此量測模組416可為類似處理模組透過轉移模組存取的獨立模組。如此獨立模組總體上將在其中結合檢驗系統,該檢驗系統係配置成與定位在模組之量測區域中的工件互動(engage)、並量測關聯於工件之屬性的資料。
在本發明之替代實施例中,量測模組可於位在由轉移模組412定義之轉移腔室之內部空間的專用區域內之量測區域中實施。又進一步而言,量測模組可被結合,其中量測模組的至少一部分係定位於工件轉移模組之內部空間的內部,且量測模組的其他部件或量測模組的特定檢驗系統係結合於工件轉移模組之外部,且透過孔或窗介接至形成量測區域之內部空間的專用區域,工件係位於該內部空間中,或者工件將通過該內部空間。
本發明之系統及平台的量測模組包含一或更多檢驗系統,其可操作用於量測關聯於工件之屬性的資料。如此資料可關聯於反映處理序列之品質及正於工件上形成之層及特徵部及元件之品質的一或更多屬性。然後藉由主動阻絕控制系統將所收集之量測資料與處理模組資料一起加以分析,以供偵測工件或工件層/特徵部上的諸多不合格性及/或缺陷。然後系統例如在製程序列中之上游或下游處理模組內提供工件的修正性處理, 以改善/修正不合格性或缺陷並改善整體製程。
依據本發明之實施例,由量測模組或其檢驗系統所取得的量測值及所產生的資料係關聯於工件的一或更多屬性。舉例而言,所量測的屬性可包含例如下列之一或更多者:工件上的層之層厚度、層保形性、層覆蓋率、或層輪廓、某些特徵部之邊緣放置位置、邊緣放置誤差(EPE)、臨界尺寸(CD)、塊體臨界尺寸(CD)、柵極臨界尺寸(CD)、線寬粗糙度(LWR)、線緣粗糙度(LER)、塊體LWR、柵極LWR、關於(複數)選擇性沉積製程的性質、關於(複數)選擇性蝕刻製程的性質、物理性質、光學性質、電性質、折射率、電阻、電流、電壓、溫度、質量、速度、加速度、或其關聯於工件上製造之電子元件的一些組合。用於產生本發明之量測資料的所量測屬性之列表並未受限,且可包含可用於處理工件及製造元件的其他屬性資料。
如此處進一步討論,用於提供屬性資料的量測模組及/或檢驗系統可實施許多量測用工具及方法以供提供本發明的量測及計量。量測模組及/或檢驗系統可包含光學方法、或非光學方法。光學方法可包含高解析度光學成像及顯微術(例如明視野、暗視野、同調/不同調/部分同調、偏光、諾馬斯基等)、高光譜(多光譜)成像、干涉術(例如相偏移、相調變、差分干涉對比、外差、傅立葉轉換、頻率調變等)、光譜術(例如光學發射、光吸收、諸多波長範圍、諸多光譜解析度等)、傅立葉轉換紅外光譜術(FTIR)反射法、散射測量、光譜橢圓偏振法、旋光測定法、折射儀等。非光學方法可包含電子方法(例如RF、微波等)、聲學方法、光聲方法、質譜法、殘餘氣體分析儀、掃描電子顯微鏡(SEM)、穿透式電子顯微鏡(TEM)、原子力顯微鏡(AFM)、能量分散X射線光譜法(EDS)、X射線光發射光譜法(XPS)、離子散射法等。舉例而言,用於量測關聯於工件屬性之資料的檢驗系統可使用以下技術或裝置的一或更多者:光學薄膜量測,例如反射量測、干涉術、散射測量、輪廓測定、橢圓偏振;X射線量測,例如X射線光發射光譜(XPS)、X射線螢光(XRF)、X射線繞射(XRD)、X射線反射(XRR);離子散射量測,例如離子散射光譜、低能量離子散射(LEIS)光譜、歐傑電子(auger electron)光譜、二次離子質譜、反射吸收IR光譜、電子束檢測、顆粒檢測、顆粒計數裝置及檢測、光學檢測、摻雜物濃度計量、膜電阻率計量(例如四點探針)、渦電流量測;微量天平、加速計量測、電壓探針、電流探針、熱量測用溫度探針、或應變計。用於產生本發明之量測資料的量測技術或裝置之列表並未受限,且可包含可用於獲得依據本發明處理工件及製造元件之有用資料的其他技術或裝置。
量測模組及/或檢驗系統可在通過處理系統的包含產品工件、或非產品基板(亦即監測基板)之諸多基板或工件構造上取得量測值。在產品工件上,量測可在指定的目標構造(元件狀構造及非元件狀構造兩者)上、特定元件區域上、或任意區域上執行。量測亦可在產生於工件上之測試構造上執行,該測試構造可包含節距構造、區域構造、密度構造等。
參照回圖4,配置成用於處理基板(例如半導體或矽(Si)工件)的複數處理模組420a-420d係耦接至工件轉移模組412。舉例而言,Si工件可具有150mm、200mm、300mm、450mm、或大於450mm的直徑。諸多處理模組及量測模組皆經由例如具有閥G的閘通道埠與工件轉移模組412介接。依據在此揭示之本發明的一實施例,第一處理模組420a可在工件上執行處理程序,且第二處理模組420b可在工件上形成自對準單層(SAM)。第三處理模組420c可蝕刻或清潔工件,且第四處理模組420d可藉由適當的沉積製程沉積膜在工件上。
轉移模組412係配置成用於在特定處理步驟之前或之後,於任何處理模組420a-420d之間轉移基板,並接著轉移至量測模組416中。圖4進一步顯示在相鄰處理腔室/工具部件之間於通道埠處提供隔離的閘閥G。如圖4之實施例中所繪示,處理模組420a-420d及量測模組416可藉由閘閥G直接耦接至工件轉移模組412,且依據本發明,如此直接耦接可大幅改善基板產能。
平台400的基板處理系統包含一或更多控制器或控制系統422,其可耦接以在如此處所討論的整合式處理及量測/計量程序期間,控制圖4所示之諸多處理模組及相關處理腔室/工具。控制器/控制系統422亦可耦接至一或更多額外控制器/電腦/資料庫(未顯示)。控制系統422可從額外控制器/電腦或伺服器透過網路獲取設定及/或配置資訊。控制系統422係用以配置並運行處理模組及處理工具的任何或所有者,且收集來自諸多量測模組的資料及來自處理模組的原位資料,以提供本發明之主動阻絕。控制系統422收集、提供、處理、儲存、及顯示來自處理模組及工具部件的任何或所有者之資料。如此處進一步敘述,控制系統422可包含許多不同的程式及應用程式及處理引擎,以分析量測到的資料及原位處理資料並實施演算法,例如深度學習網路、機器學習演算法、自主性學習演算法及其他演算法,以提供本發明的主動阻絕。
如此處進一步敘述,主動阻絕之控制系統422可實施於具有微處理器、合適之記憶體、及數位I/O埠的一或更多電腦裝置中,且能產生足以對平台400之諸多模組通訊、啟動輸入、並與運行於平台400上之基板處理系統交換資訊的控制訊號及電壓。控制系統422監測來自平台400之處理系統的輸出以及來自平台之諸多量測模組的量測資料,以運行平台。舉例而言,儲存在控制系統422之記憶體中的程式可用以依據製程配方或序列啟動對諸多處理系統及轉移系統的輸入,以執行期望的整合式工件處理。
控制系統422亦使用量測資料以及由處理模組輸出之原位處理資料,以偵測工件中的不合格性或缺陷,並提供修正性處理。如此處所討論,控制系統422可實施為一般目的電腦系統,其回應執行記憶體中之程式內所含的一或更多指令之一或更多序列的處理器,而執行基於本發明之微處理器的處理步驟之一部分或全部者。如此指令可從另一電腦可讀媒體(例如硬碟或可移除媒體磁碟)被讀入控制系統記憶體中。多處理配置中的一或更多處理器亦可用作控制系統微處理器元件,以執行記憶體中所含指令的序列。在替代的實施例中,硬佈線(hard-wired)電路可用以取代軟體指令、或與軟體指令結合,以實施本發明。因此,實施例並不限於用以執行如此處討論之本發明的計量驅動程序之硬體電路及軟體的任何特定組合。
主動阻絕之控制系統422可相對之平台400之基板處理系統在本地定位,或其可相對於基板處理系統遠端定位。舉例而言,控制系統422可使用直接連接、內部網路連接、網際網路連接及無線連接的至少其中一者與基板處理系統及平台400交換資料。控制系統可耦接至例如在顧客位置(亦即元件製造者)的內部網路,或其可耦接至例如在供應商位置(亦即設備製造者)的內部網路。此外,舉例而言,控制系統422可透過適當的有線或無線連接耦接至其他系統或控制部。再者,舉例而言,另一電腦(亦即控制器、伺服器等)可存取控制系統422,以經由挼內部網路連接及/或網際網路連接之直接有線連接或無線連接的至少一者交換資料。如亦將由所屬領域中具有通常知識者所察知,控制系統422將經由適當的有線或無線連接與基板處理系統的模組交換資料。處理模組可具有其自身的個別控制系統(未顯示),該控制系統將被輸入的資料用於控制模組的處理腔室及工具及子系統,並提供關於處理序列期間之製程參數及度量的原位輸出資料。
圖5A-5D說明裝載有用於實施本發明之量測及計量的共用平台之實施例。類似於圖4所示的系統,實施於平台500上的基板處理系統結合前端轉移系統或FEM 502,該前端轉移系統或FEM 502與卡匣模組504a、504b及負載鎖腔室510a、510b耦接。基板轉移模組512在一或更多處理模組520a、520b、520c、及520d與一或更多量測/計量模組516之間移動基板。整體而言,轉移模組512具有結合一或更多轉移機構或機器人514的腔室,該一或更多轉移機構或機器人514將在處理序列中搬運及移動基板通過腔室的內部空間並進入及離開處理模組。
更具體而言,轉移機構514係設置於可定義受控環境之轉移模組之內部空間513的內部,且配置成移動工件通過內部空間及環境以及選擇性進出複數處理模組520a-520d和量測模組516、或進出內部空間之專用區域中的量測區域,以供量測檢驗系統量測資料。依據本發明之一態樣,因為轉移模組512的內部空間513與處理模組520a-520d及量測模組516係於共用平台上耦接在一起,所以可針對工件在量測及處理序列之大部分或全部者的過程大致維持受控環境。如此受控環境可涉及轉移模組或量測模組中的真空環境或惰性氣體大氣。
類似於圖4所示之實施例,圖5A中的平台500結合至少一工件量測/計量模組516,其類似諸多處理模組520a-520d、經由適當的通道埠及閘G與轉移模組512耦接。
更具體而言,轉移模組512包含複數通道埠或側方埠,其各具有適當的閘G,工件係移動通過該閘G而往來複數處理模組520a-520d。為了提供必要處理序列以用於平台500上之有效率產能,複數處理模組520a-520d包含在共用平台上操作各式工件處理步驟的模組。舉例而言,平台將包含一或更多蝕刻模組及一或更多膜形成或沉積模組。如圖5A所示,量測模組516亦在側方或通道埠其中一者處經由適當的閘G與轉移模組耦接。在其他實施例中,如圖6A所示,量測模組係在形成於轉移模組之頂部中的一埠處與轉移模組耦接。在此處所述的更進一步實施例中,轉移模組亦作用為量測模組,其中用於擷取量測資料之量測模組的至少一部分係結合或設置在轉移模組之內部空間的內部。如圖7A-7C所示,如此實施例中的轉移量測模組(TMM)包含位於轉移模組之內部空間之專用區域內的量測區域。
當基板在處理序列中移動於一或更多處理模組與量測/計量模組516之間時,主動阻絕控制系統整體而言快速地收集工件量測資料。資料被截取且接著被分析及處理,以偵測不合格性及缺陷,並如此處討論提供修正性處理。主動阻絕控制系統522提供序列之處理步驟的必要控制,以對所進行的諸多製造處理步驟做出控制調整,俾修正所偵測到的不合格性/缺陷。調整可對序列中所擷取量測資料之前或上游的製程步驟及處理腔室及/或該量測資料之後或下游的製程步驟進行。或者,適當的修正性措施或修正性處理可包含經由平台500從處理流程將(複數)工件退出,以免在無法保全的(複數)工件上浪費更多的時間和材料。
參照圖5B,說明例示性量測模組516,其結合檢驗系統530以相關於透過系統或共用平台500之處理序列在基板上即時進行量測。
檢驗系統530量測關聯於工件之屬性的資料,該資料可包含關聯於一或更多性質的資料,例如物理性質、化學性質、光學性質、電性質、材料性質或其二或更多者的一些組合。量測資料亦可包含關聯於形成在工件上之一或更多層的資料。如所述,用於在量測模組中量測資料的檢驗系統或工具可使用涉及訊號源及訊號擷取感測器、接觸感測器、及其他量測工具的諸多不同技術,以實施以下技術或裝置的一或更多者:光學薄膜量測,例如反射量測、干涉術、散射測量、輪廓測定、橢圓偏振;X射線量測,例如X射線光發射光譜(XPS)、X射線螢光(XRF)、X射線繞射(XRD)、X射線反射(XRR);離子散射量測,例如離子散射光譜、低能量離子散射(LEIS)光譜、歐傑電子(auger electron)光譜、二次離子質譜、反射吸收IR光譜、電子束檢測、顆粒檢測、顆粒計數裝置及檢測、光學檢測、摻雜物濃度計量、膜電阻率計量(例如四點探針)、渦電流量測;微量天平、加速計量測、電壓探針、電流探針、熱量測用溫度探針、或應變計。當工件在處理序列過程移動並通過計量模組或TMM時,檢驗系統在工件於處理模組中受處理之前或之後量測資料,以判定處理步驟及模組的操作,並評估對於依據本發明之修正性處理的任何需求。
在圖5所示的實施例中,檢驗系統530結合將量測訊號534導向工件536的一或更多訊號源532。入射之訊號534從工件536的表面反射或散射,且散射之訊號535被偵測器540擷取。在一實施例中,工件係由轉移機構514設置在支撐機構538上,該支撐機構538可如由圖5B中之箭頭所指示般在各端間及上下平移與旋轉,使得量測訊號534可被導向工件536上的諸多適當位置。
亦即,在圖5B的實施例中,量測模組包含獨立的支撐機構538以供支撐設置在量測模組516中的工件。檢驗系統與支撐機構538互動以供量測關聯於支撐在支撐機構上之工件的屬性之資料。在如此情形中,量測模組516中的支撐機構538係大致與以其他方式移動工件並將其設置在支撐機構上的轉移模組分離。
獨立的支撐機構例如經由垂直及/或水平移動使工件平移,且亦可旋轉工件,以提供至少二自由度,而如此處所討論量測關聯於工件之屬性的資料。支撐機構中亦可結合溫度控制元件,以供控制工件溫度。因此,在圖5B的實施例中,在工件由轉移機構設置在支撐機構上後,支撐機構提供量測資料所必須的工件之支撐及移動。在本發明之替代性實施例中,如圖5C所示,轉移機構提供支撐及移動工件的功能,而用於與檢驗系統互動以量測關聯於工件上屬性的資料。
參照圖5C,轉移機構將把工件設置在量測模組中,或在轉移量測模組之情形中,把工件設置在位於轉移腔室之專用區域內之量測區域中,使得檢驗系統可與工件互動以獲取量測資料。亦即,轉移模組運作為或包含合適的支撐機構,以支撐工件並提供關聯於工件之屬性的量測所必須的平移及/或旋轉。
支撐機構或運作為支撐機構的轉移機構可結合夾持機構(在此說明且併入做為參考)。並且,如在此揭示,支撐機構或提供工件支撐機構的轉移機構亦可結合磁浮平台以提供一或更多自由度。
檢驗系統530包含一或更多檢驗訊號源532及一或更多訊號收集器或訊號偵測器540,以從受量測之工件536的表面擷取反射或散射訊號。偵測器540產生量測資料550,該量測資料550接著可被導向如在此所述的主動阻絕控制系統522。
參照圖5B,工件轉移機構或機器人514將基板從處理模組520a-520d移動至量測模組516中,以設置在支撐機構538的平台上,或在圖5C的實施例中,將工件設置成與檢驗系統互動。檢驗系統530量測並擷取量測資料。在本發明的一實施例中,量測模組516在受控但非真空環境中運作。或者,量測模組516提供量測用真空環境。為此,可將閘閥552結合在基板轉移模組512與量測模組516之間的通道埠處。如吾人將察知,若真空在量測模組內為必須,可針對該目的將適當的真空設備(未顯示)與模組516的內部空間耦接。一旦工件536受量測,便可例如在資料已由主動阻絕控制系統分析且已決定適當措施(例如修正性處理措施)之後,藉由轉移模組512的轉移機構514將工件536移出量測模組516,並接著依據製程流程導向其他處理模組520a-520d之一或更多者。
如此處進一步敘述,所擷取的量測資料550可接著被導向控制系統522,並進一步加以評估及分析,以決定針對所量測之基板的特定措施。若量測資料指示所量測的參數在期望設計及製造程序的規格內、且/或未偵測到可採取措施的缺陷,則工件可如常在平台500的系統內進行製程流程。或者,若量測資料550指示工件超出修正或改善的程度,則可將工件退出進一步的處理。或者,依據本發明之實施例,主動阻絕控制系統可分析資料並提供修正性處理作為將針對工件採取或將在整體製程流程之諸多製程步驟中進行的一或更多修正性步驟,以修正目前的工件,並預防後續在系統中受處理之其他工件需要修正性措施。具體而言,參照圖5B,主動阻絕控制系統可在其中結合一或更多處理步驟及處理部件以對製程流程產生修正。首先,如方塊554所示,可擷取並處理必要的量測資料550。接著,如方塊556所示,在所擷取的資料與任何關聯於處理模組及製程步驟之一或更多者的原位處理資料上產生模型化及資料分析。模型化及分析可利用人工智慧,包含如在此進一步討論的深度學習及自主性學習程式及部件。接著,分析可提供針對平台500之系統的修正性製程控制,其中處理步驟及處理腔室的一或更多者受控制,以修正或改善所察覺到或偵測到的超出相關於基板製造之整體設計之規格的層及特徵部中之不合格性或缺陷。在依據期望設計的整體基板製造內,方塊558的修正性製程控制可提供至處理步驟或處理模組的一或更多者,且其可施加至時間上先於擷取量測資料550(於擷取量測資料550之上游)的一或更多處理步驟,或可施加至後接擷取量測資料550(於擷取量測資料550之下游)之處理步驟的一或更多者。如此處所討論,主動阻絕控制系統552、及其由方塊554、556及558所指示之程序可結合於由控制系統552之一或更多電腦及/或該系統之部件所運行的軟體中。
依據本發明之實施例,取決於所量測之屬性或量測類型,用於獲取量測資料的檢驗系統藉由執行接觸式量測或計量或非接觸式量測或計量而與工件互動。可使用接觸式及非接觸式量測兩者的組合。取決於檢驗系統的位置,檢驗系統的多個部分可部分或完全設置在模組之內部空間或腔室的內部。在如此處所揭示之圖5A及6A的實施例中,專用的量測模組516、616可完全容納在檢驗系統中。或者,量測模組的一部分可設置在腔室之內部空間內,例如在工件轉移模組之內部空間內,而量測模組的另一部分位於腔室之外。如此實施例係於例如圖7A中說明,其中轉移量測模組係顯示為使用位於轉移腔室內部空間之專用區域內的量測區域,且檢驗系統係配置成與設置在量測區域中之工件互動,以量測關聯於工件上屬性的資料。
現參照圖5E,檢驗系統530可結合一或更多檢驗訊號源532a、532b、532c,該訊號源532a、532b、532c係與一或更多偵測器元件540a、540b及540c一起用以感測或收集檢驗訊號,該檢驗訊號係於工件536在量測模組516或轉移量測模組(TMM)內移動以與檢驗系統互動時從工件536之表面反射或以其他方式導向。在本發明的實施例中,檢驗系統530結合一或更多訊號源532a-532c以產生並導引訊號至在支撐機構538上或轉移機構514上設置及/或移動的工件536之表面上。
依據本發明之實施例,訊號源532a、532b、532c可產生電磁訊號、光學訊號、粒子束或帶電粒子束、或其他訊號的一或更多者以入射於工件536的表面539上。反之,偵測器元件540a、540b、540c可設置成接收反射或散射的對應電磁訊號、光學訊號、粒子束或帶電粒子束、或其他可從工件536之表面539反射或以其他方式導向的訊號,以量測資料並提供關於工件之屬性的計量。
參照圖5E,固持工件536的支撐機構538或轉移機構514可平移或旋轉以提供工件536上的諸多區域之量測值。依此方式,量測資料可在整個工件的諸多部分或區段加以擷取。因此,便可連續量測或逐點量測,藉以減少整體量測時間及處理時間。
舉例而言,檢驗系統在等於或超過1平方公分的工件之部分量測資料。或者,檢驗系統量測或成像等於或超過工件之有效表面積的90%之工件的實體部分。如所述,檢驗系統可在工件之有效表面上的複數獨立位置處執行量測,或可在工件之一部分範圍執行量測的連續序列。舉例而言,檢驗系統可沿著延伸橫過或部分橫過工件的路徑執行量測。如此路徑可包含一線、一系列的線、一弧、圓形曲線、螺旋曲線、阿基米德螺線、對數螺線、黃金螺線、或一些其組合。並且,可有如圖5C所示之若干檢驗系統,其中源/偵測器對532、540可各表示來自不同檢驗系統的不同檢驗訊號,且可為不同訊號形式。舉例而言,取決於檢驗系統,532a、540a的一系統可使用光學訊號,而其他系統的一或更多者(532b、540b)可使用電磁訊號。
當工件在量測模組中或如此處所討論在轉移量測模組之專用區域中時,圖5E所示的(複數)檢驗系統在工件上執行複數屬性的量測。該等量測可在時間上同時進行。亦即,不同檢驗系統可同時進行量測。或者,諸多檢驗系統可在不同時間運作。舉例而言,可能必須為了一類型的量測或檢驗系統而將工件移動或設置於一位置,且然後為了藉由相同或不同類型之檢驗系統的另一量測而移動或設置該工件。
(複數)檢驗系統可為提供非接觸性量測及計量的非接觸性系統,例如顯示為具有針對偵測器元件540a、540b、540c產生非接觸性訊號的訊號源532a、532b、532c者。或者,量測模組或轉移量測模組的一或更多檢驗系統可使用接觸式感測器,例如感測器541,其可由機構543移動及定位而將感測器541設置在工件之表面539的一部分處,以進行量測。依據本發明所提供的檢驗系統可結合接觸式檢驗系統及非接觸式檢驗系統的組合,以收集關聯於工件之屬性的量測資料。
圖5E所示利用在此討論之量測模組或轉移量測模組之檢驗系統所量測的工件之表面539總體而言將量測關聯於工件之頂部表面或有效表面的屬性。然而,如在此討論及進一步說明,若有需要,可將檢驗系統安排及設置成從工件的底部表面進行量測及收集資料。
雖然所量測的工件536將通常為待完成為半導體元件的工件,但本發明的量測及計量亦可執行在如此產品工件上、或非產品工件或基板(亦即監測工件或基板)上。在產品工件基板上,量測及計量可在特定元件區域中或特定元件區域上、任意區域中或任意區域上、或產生於工件上之測試構造中或該測試構造上的指定之元件狀及非元件狀目標構造上執行。測試構造可包含節距構造、區域構造、密度構造等。
總體而言,如若干圖式所示,在此揭示的實施於量測模組中或轉移量測模組中的檢驗系統可為靜止,而支撐機構或工件轉移機構移動工件以與檢驗系統互動,並在工件的不同區域中取得量測值。或者,如圖5D所示,檢驗系統530或其一些部分可相對工件支撐機構538、工件轉移機構514及容納工件之模組或腔室(不論是量測模組或是轉移量測模組的腔室)移動。如圖5D所示,檢驗系統可配置成相對靜止的工件平移及/或旋轉,以從工件的區域獲取量測資料。
在本發明的其他實施例中,檢驗系統可嵌入工件支撐機構或為工件支撐系統的一部分。參照圖5F,檢驗系統530可安裝或受支撐於支撐機構538上。然後,當工件被設置在支撐機構上時,其將位於由檢驗系統互動的適當位置。亦如圖5F所示,檢驗系統531可嵌入支撐機構,以座落於經設置之工件下方或以其他方式接近該工件。舉例而言,如此檢驗系統可提供關聯於工件之質量量測值或溫度量測值的量測資料。
如在此進一步討論,檢驗系統可位於量測模組或轉移量測模組內,且因此可運作以在真空或受控環境中提供量測資料。或者,檢驗系統可結合位於定義量測模組之腔室或內部空間之外的檢驗訊號源532及偵測器540。在此情形中,一般可相對於如圖7A所示之轉移量測模組,將訊號導引通過一或更多孔、光圈、或窗並進入由此處討論之計量模組所定義的空間中。
圖6A及6B說明本發明的替代性實施例,其中例如在共用平台600中,量測/計量模組經由基板轉移腔室與複數基板處理腔室耦接。在圖6A及6B所示的實施例中,所述諸多元件係類似於圖5A中揭示的元件,且因此針對如此類似的元件維持類似參考編號其中若干者。更具體而言,如此處所述的量測模組及/或檢驗系統可類似於如利用平台500及圖5A之模組516所討論者而實施及運作。
在圖6A所示的共用製造平台600之系統中,量測/計量模組616係實施為獨立模組。然而,該模組係設置在轉移模組612的頂部上,且具有通過轉移模組之頂部或通過模組612之轉移腔室613的內部空間之頂部壁的通行能力。如圖6A所示,此針對設置在基板轉移模組612周圍的額外處理模組(例如處理模組620e)提供額外空間及位置。
參照圖6B,所示的量測/計量模組616係位於轉移模組612的頂部上。因此,量測/計量模組616可通行經過模組616之底埠區域且實質上通過轉移模組612之頂部壁。為此,基板轉移模組612的頂部上之開口或埠652將與量測/計量模組616的底部中之開口或埠重合。舉例而言,如圖6B所示,可如指示在量測/計量模組616與轉移模組612之間的介面處將閘閥用於該埠652。閘閥可為可選性,取決於是否將在量測/計量模組616內維持真空。
用於將工件636支撐於其上的支撐機構638將包含升降機構639,以供如圖6B所示升高及降低支撐機構638。在降低位置中,如虛線所示,機構638在適當位置以從轉移機構或機器人614接收工件636。然後機構639升高支撐機構638進入由量測模組616定義的腔室中,以由一或更多檢驗系統630加以互動。雖然圖6B揭示單一非接觸式檢驗系統630,但如關聯於圖5E及相關圖式而討論之其他接觸式及非接觸式檢驗系統亦可關聯於平台600中之量測模組616而採用。支撐機構638及檢驗系統630可如此處相關於平台500而討論般運作,且將具有相關於該平台所述的所有特徵。再者,雖然顯示單一量測模組616,但吾人將察知,亦可將其他量測模組及檢驗系統實施於共用平台600上之轉移模組612之頂部表面上。
如此處所述,檢驗訊號源632發出一或更多檢驗訊號634至工件636的表面,且該等訊號接著如由訊號635所指示般反射或散射,而由適當的偵測器640接收。藉此,產生量測/計量資料550,且其可由主動阻絕控制系統522如在此敘述般適當地加以處理,主動阻絕控制系統522擷取資料、將資料模型化並分析、且然後針對平台600中之系統提供修正性製程控制。控制系統對製程流程產生作用,並修正或改善指示不合格性或缺陷或指示某些層、特徵部或元件超出製造設計之規格的任何量測值。如吾人可察知,圖6A及6B所示之實施例提供將複數不同處理模組設置在具有一或更多量測/計量模組之共用製造平台上的能力,其中可在受控環境中或在真空下立即將受處理的工件導向量測/計量模組,以在處理序列期間即時擷取量測/計量資料且不從受控環境或真空環境移除基板。
雖然共用製造平台可結合與例如蝕刻模組及膜形成模組之處理模組結合的一或更多量測模組,但依據本發明的另一實施例,量測/計量模組的功能性係結合於可依據處理序列移動工件通過諸多處理模組的轉移模組內。更具體而言,轉移模組一般包含定義容納轉移機構(例如機器人)之內部空間的轉移腔室,以將工件移動通過轉移模組並進出選定的處理模組。依據本發明之態樣,量測區域係位於轉移腔室內部空間的專用區域內。量測區域可由轉移機構存取以針對獲取量測資料的目的而將工件設置在量測區域中。更具體而言,可在工件已於處理模組中受處理之前或之後將工件設置在量測區域中,以判定處理步驟或整體處理序列截至該時點為止的特定結果。檢驗系統係配置成與設置在量測區域中的工件互動。檢驗系統可運作而依據本發明之態樣用於量測關聯於工件上屬性的資料。如此處進一步討論,轉移機構可將基板放置在位於量測區域內之獨立支撐機構上,以取得量測值。或者,轉移機構本身可運作為支撐機構,並移動及設置工件於適當的量測區域中,以供由檢驗系統加以互動。因此,獨立的量測模組並非必要。反而是,轉移模組之轉移腔室內的空間提供用於量測之對於工件的存取能力。
圖7A說明結合依據本發明之實施例的轉移模組之共用平台700上的處理系統,該轉移模組利用專用區域形成量測區域,其中可在運送期間從工件收集量測資料。依此方式,如此處所述,工件可受處理及量測而仍留在受控環境(例如真空環境)內。工件不需離開平台700的環境來判定製程進行的狀況及偵測任何不合格性或缺陷。因此,圖7A所示之實施例形成轉移量測模組(TMM),其可與一或更多處理模組一起使用,或用作共用平台的一部分。再者,如此處所討論,可將複數轉移量測模組加以使用並介接在一起,以合作並形成更大的共用製造平台。
結合在轉移量測模組(TMM)內的檢驗系統加入運作,且類似如此處所述之其他檢驗系統。舉例而言,圖7B及7C所示的如此檢驗系統僅說明若干檢驗系統。然而,例如關於圖5A-5F所討論者的其他檢驗系統及特徵將亦可應用至圖7A所示的轉移量測模組。由此,如先前在此討論,將一些共通的參考編號用於圖7A-7C。
平台700結合提供量測/計量資料的轉移量測模組712。轉移量測模組(TMM)712包含工件轉移機構714,例如呈轉移腔室713之內部空間內的搬運機器人之形式。如圖7A所示,轉移機構714可如於平台500及600中般運作,以移動一或更多工件通過轉移量測模組712,且移動於耦接至共用製造平台中之轉移量測模組712的處理模組之諸多者之間。依據本發明之一特徵,轉移腔室713定義包含用於量測之專用區域的內部空間。TMM 712的量測區域715係位於該專用區域中。量測區域715係接近一或更多檢驗系統730以供量測。
更具體而言,量測區域715係設置在轉移腔室713內,以不干涉轉移量測模組之在製程序列過程中移動工件進出諸多處理模組方面的主要目的。量測區域定義供量測用工件放置的一或更多位置。為此,一或更多檢驗系統係配置成與設置在轉移腔室713之量測區域中的工件互動。接著依據本發明,檢驗系統可運作用於量測關聯於工件上屬性的資料。如所述在此處所述的檢驗系統之情形中,支撐機構可位於量測區域715內而用於在藉由檢驗系統收集量測資料的期間支撐工件。或者,轉移機構714可提供工件在轉移腔室之量測區域715內的設置及支撐。依據本發明之實施例,可在處理序列期間將工件移至量測區域715中或移動通過量測區域715,以從關聯於該量測區域之一或更多檢驗系統獲取量測資料。雖然圖7A為了說明性目的而顯示單一量測區域,但亦可將複數量測區域715結合至TMM 712中。
參照圖7B,TMM 712結合位於量測區域715內的一或更多檢驗系統730,並提供在處理序列期間獲取即時量測值及量測資料的能力。在一實施例中,TMM 712內的量測區域715結合從機構714接收工件而用於腔室713內之量測的支撐機構738。量測資料係於工件移動於處理模組之間時擷取。
總體而言,TMM 712中的檢驗系統730係設置成靠近量測區域,且配置成用於與量測區域715中的工件互動,以量測關聯於工件之屬性的資料。如所述,用於定義量測區域的專用區域係定位成使得工件支撐機構及任何關聯之檢驗系統將不干涉TMM之在製程序列中移動工件並通過一或更多處理模組方面的主要功能。量測模組或量測模組之一部分的檢驗系統可完全容納在TMM中以如圖7C進行量測。在其他實施例中,量測模組或檢驗系統的至少一部分係設置在TMM之內部空間的內部,以定義如圖7B所示之內部空間之專用區域內的量測區域。
作為TMM712之一部分的量測模組之檢驗系統730可為無接觸系統,其包含用以以產生檢驗訊號的一或更多訊號源732及一或更多偵測器740。入射的訊號734從工件736的表面反射或散射,且散射的訊號735由偵測器740擷取。或者,亦可使用例如圖5E所示的接觸式系統。
圖7B及7C說明TMM 712的替代性實施例。在圖7B的實施例中,量測模組的至少一部分或關聯於量測模組之檢驗系統的至少一部分係設置在TMM 712之腔室713之內部空間的內部。更具體而言,量測區域715係定義並定位於轉移腔室713之內部空間的專用區域內。檢驗系統的訊號源及訊號偵測器元件係位於轉移腔室713之內部空間之外,而用於支撐工件736的工件支撐機構738及轉移機構714係容納於轉移腔室713內。為此,檢驗訊號734通過對檢驗訊號呈有效通透的適當通道埠750,並進入內部空間以與設置在量測區域715中的工件736互動。如所述,檢驗訊號可包含電磁訊號、光學訊號、粒子束、帶電粒子束、或該等訊號的一些組合。通道埠750可適當地形成為與特定地檢驗系統及檢驗訊號源一起運作。舉例而言,通道埠可包含窗、開口、閥、快門、及光圈、或形成通道埠之不同構造的一些組合,以容許入射檢驗訊號與工件736互動。為此,檢驗系統730的至少一部分可大致位於轉移腔室713之頂部表面上方。
依據本發明之特徵,支撐機構738或轉移機構(不論是何者支撐量測用工件)提供工件736的移動,以用於相對於系統掃描工件。或者,如所揭示,工件可為靜止,而檢驗系統掃掠。在一實施例中,基板支撐機構提供工件的平移及旋轉,例如在由圖7B及7C中之參考箭頭指示的檢驗訊號734之路徑下方。依此方式,可擷取量測/計量資料,且接著由此處討論之控制系統522加以利用,以在基板處理及製造期間提供主動阻絕,俾對製造程序提供修正而處置指示基板層及/或特徵部超出規格的資料、或修正所偵測到的不合格性或缺陷。
依據本發明之一特徵,轉移機構714從處理模組720a-720e的一或更多取得工件,且在將其移至另一處理腔室上之前,使基板通過TMM的量測區域715。舉例而言,轉移機構714可將工件736導引至支撐機構738上,其中工件736相對於一或更多檢驗系統之訊號734平移及/或旋轉。
圖7C說明本發明之TMM的替代性實施例。其中,量測模組係大致整體設置在轉移腔室713之內部空間的內部。亦即,支撐機構738以及檢驗系統730及部件係容納於轉移量測模組712的內部。總體而言,量測模組(包含檢驗系統及支撐機構)的部件係設置在經定義的量測區域715中,且因此在TMM之內部空間或腔室內具有其自有專用區域。
圖7B及7C所示之TMM的實施例結合無接觸檢驗系統730,其中檢驗訊號被導引至工件上。或者,如所示,檢驗系統730亦可包含接觸式量測系統,例如圖5E所示者,其實體接觸工件或接觸支撐機構或接觸兩者,以量測關聯於工件之屬性的資料。再者,雖然圖7B及7C顯示將工件736放置於支撐機構738上,但轉移機構或機器人714實際上可運作為用於相對圖5C所示之檢驗系統移動工件的支撐機構。又進一步而言,用於TMM中之量測模組的檢驗系統亦可結合靜止的工件,其中檢驗系統本身如圖5D所示般移動。類似地,可如圖5F所示將檢驗系統530結合為支撐機構的一部分或嵌入支撐機構。
藉由結合將設置於TMM之內部空間之內部的量測模組之一部分,可實現效率,因為可在工件轉移於處理模組之間時將工件傳遞至量測區域中。利用轉移機構714作為用於工件的支撐機構係特別適合如圖7A所示的TMM。為此,圖7D及7E顯示本發明的另一實施例,其中檢驗系統可直接結合於轉移機構714上。如所示,檢驗系統730可耦接至轉移機構714以與工件一起移動。依此方式,當工件移動於處理腔室之間時,其可在其被移動時由檢驗系統730互動,以獲取量測資料。參照圖7E,檢驗系統730可結合在關聯於轉移機構之機器人的上方及/或下方,以從由轉移機構載運的工件736之任一表面獲取資料。圖7D及7E所示的系統可用以在工件實際上正被移動至另一獨立檢驗系統時獲取資料。由此,圖7D及7E所示的轉移機構714可與此處揭示之諸多量測模組或轉移量測模組的實施例結合。
此處所述量測情形及檢驗系統之若干者係顯示為被導引至工件之實質頂部表面、或元件形成於其上之工件的實質有效表面。或者,可期望在工件的底部表面上進行量測。此可藉由將工件設置在結合圖5F所示之嵌入式量測系統的支撐機構上而完成。或者,如圖7F及7G所示,檢驗系統可設置在TMM 712中,使得工件的底部表面如圖7F所示從腔室713的內部空間內或如圖7G所示從該內部空間的外部受到量測。
如吾人將察知,雖然圖7A-7C揭示的實施例顯示單一檢驗系統,但複數檢驗系統730可用於轉移量測模組712的內部,以在工件上取得諸多不同的量測值,且藉此提供對於主動阻絕控制系統522的輸入,以供採取步驟來修正或改善任何所偵測到的不合格性或缺陷。量測值可在TMM之處理環境內快速取得,該處理環境可為受控環境或處於真空下。依此方式,特徵部及/或屬性的諸多量測值可在轉移模組中的無汙染物區域內加以判定。在轉移量測模組(TMM)的內部,工件可在不破壞真空的情況下從處理移動至量測區域715。轉移量測模組712提供可結合至如所示具有複數不同處理腔室之共用製造平台中的模組。由於工件在完成處理序列之過程中於諸多處理模組之間移動,所以可在不於整體處理序列中明顯增加時間的情況下使基板通過量測區域715。藉此,量測資料輕易被即時收集,且可由在此討論之控制系統522處理,以在有需要時取決於所量測到的資料對處理序列產生作用或加以修正。
依據本發明之特徵,基板支撐機構538、638、738在此用以提供複數自由度及運動度,以在量測模組或轉移量測模組(TMM)內於工件表面上取得必要的量測值。舉例而言,提供了基板的多軸X-Y-Z平移以及旋轉。支撐機構可針對擷取資料的目的提供工件之移動的次微米等級控制。依據本發明之一實施例,可在支撐機構及平台採用機械驅動系統,以在運動上提供複數自由度。在本發明的替代性實施例中,可使用磁浮及旋轉支撐平台。如此支撐機構及平台可減少一些關聯於採用機械驅動系統之支撐平台的可能汙染。
具體而言,圖7H及7I顯示結合可旋轉工件固持器772的支撐平台770。舉例而言,固持器772可由鋁製成。在旋轉之固持器772下方,加熱器元件774可提供熱量至工件固持器772。工件固持器772係經由適當的配接器778耦接至磁浮轉子元件776,該配接器778亦可由鋁製成。總體而言,磁浮轉子元件776可為環狀。圖7I僅顯示工件固持器772的局部剖面圖。圖7H顯示與線性平移機構780耦接的整個工件固持器772。
支撐平台770亦結合圍繞並鄰近磁浮轉子元件776的磁浮定子元件790。經由轉子元件776與定子元件790的互動,可使工件固持器772繞著基部元件792旋轉。
針對支撐平台770的平移,基部元件792及旋轉工件固持器772係安裝至平移機構794。平移機構794可結合經由安裝元件782適當地耦接至支撐平台之基部元件792的一或更多平移桿780。支撐平台770可結合至真空環境中,且具體而言可結合至此處揭示的諸多量測模組或轉移量測模組中,以提供鄰近一或更多檢驗系統的工件之旋轉及平移,而用於擷取計量資料。支撐平台770可以高達300mm/s的速率在控制系統之導引下平移,以提供期望的量測資料。舉例而言,工件固持器可在平移時以高達120 RPM的速率旋轉。加熱亦可透過加熱器元件774提供。平移桿780亦可耦接至額外平移機構而用於沿著另一軸線移動工件固持器772,及耦接至升降機構(未顯示)而用於使支撐平台770升降。雖然工件固持器772如此處揭示係位於量測模組或轉移量測模組內,但例如平移桿780及其他機構(包括用於該等機構之驅動馬達)之部分的平移機構之諸多元件可位於量測模組或轉移量測模組之外部。可將諸多材料的一或更多保護層施加至旋轉部件,以防止釋氣及潛在汙染物進入腔室並降落在基板上。合適的支撐平台770之細節係進一步敘述於題為「Magnetically Levitated and Rotated Chuck for Processing Microelectronic Substrates in a Process Chamber」且於2017年11月8日提出申請的美國公開專利申請案第US 2018/0130694號中,且其係整體於此併入做為參考。
圖8、8A、及8B顯示本發明之替代性實施例,其中經定義的量測區域不僅實施於轉移量測模組內,且亦實施於穿越腔室內,該穿越腔室係由轉移量測模組所使用,以將工件移動於轉移量測模組與一或更多處理模組或其他轉移模組之間。如此量測區域可位於穿越腔室之內部空間的專用區域內,且可針對將工件設置在量測區域內的目的而由移動工件之轉移機構存取。此可在工件已在處理模組中受處理之前或之後完成。依據本發明之特徵,檢驗系統係關聯於一或更多量測區域,且檢驗系統係配置成與設置在量測區域中的工件互動,以量測關聯於工件之屬性的資料。參照圖8A,轉移量測模組812a係經由穿越腔室830與轉移模組812b耦接。轉移量測模組812a在其中將包含關聯於適當之檢驗系統的一或更多專用量測區域815,以收集量測資料。轉移模組812b係顯示為不具有量測能力的典型轉移模組,然而該轉移模組亦可結合一或更多專用量測區域及檢驗系統。模組812a、812b之各者運作為用於支持一或更多處理模組820a-820e的平台。相關的轉移機構814將在所示之主動阻絕控制系統522的控制下,於處理序列的過程中移動工件並進出處理模組其中諸多模組。依此方式,舉例而言,可在處理序列的過程中關聯於由轉移量測模組812a定義之平台使工件移動,且然後移動至不同處理序列,而將工件傳遞通過穿越腔室,以與轉移模組812b內之另一轉移機構互動。
依據本發明的一實施例,穿越腔室具有內部空間832,以容許工件在轉移量測模組812a與另一轉移模組812b(或處理模組,如圖8B所示)之間移動。轉移模組之各者可結合具有容納轉移機構814之內部空間的轉移腔室813。如所述,轉移機構係配置成移動諸多工件通過內部空間並選擇性進出諸多處理模組或穿越腔室830。專用的量測區域815係設置在穿越腔室之內部空間832內。穿越腔室內的量測區域815可由任一轉移機構814存取,以於工件已在鄰接處理模組其中一者中受處理之前或之後將工件設置在量測區域中。轉移腔室830的量測區域將包含如此處所述之一或更多檢驗系統,該檢驗系統係配置成與設置在量測區域中之工件互動,並可運作用於量測關聯於工件之屬性的資料。依此方式,可在工件移動於鄰接處理平台之間或進出其他處理模組時收集量測或計量資料。
舉例而言,圖8B顯示使用穿越腔室830的替代性配置。平台800可包含例如結合若干如所示之處理模組的轉移量測模組812a。穿越腔室830可通至另一處理模組820f,而非如圖8A所示通至另一轉移模組或轉移量測模組。因此,依據本發明的實施例,藉由在其他區域內結合量測區域及檢驗系統,使量測模組及/或檢驗系統與諸多處理模組一起結合於共用平台上,包括用於使基板移動於平台之間或處理模組之間的穿越腔室。
圖9、9A、及9B顯示本發明的又另一實施例,其中一或更多檢驗系統係與轉移模組(具體而言,該模組的轉移腔室)耦接。參照圖9,平台900係顯示為結合轉移模組912及複數處理模組920a-920e。轉移模組包含定義用於工件之移動之內部空間的轉移腔室913。如所示,轉移腔室913亦使用一或更多轉移埠,其係圍繞轉移腔室周邊而設置,且可透過閘閥G通行。如圖9所示,轉移埠919與通往一或更多處理模組的入口重合,且因此轉移埠係相對於對應的處理模組。轉移機構914係設置在轉移腔室913之內部空間的內部,且係配置成大致沿著腔室內部空間內之水平平面917移動工件。轉移機構914移動工件進出相對轉移模組912中之對應轉移埠而設置的一或更多處理模組。
一或更多檢驗系統930係與轉移腔室913耦接,且將與重合轉移埠919之量測區域915互動。檢驗系統將包含如此處所討論的部件,且可包含如圖9A所示之相對水平平面917而設置的感測器通道埠或孔950。檢驗系統(且具體而言,檢測器孔)之各者係位於轉移腔室913之周邊,且在工件經由如圖9A-9B所示之對應轉移埠919移動進出處理模組時提供對於工件的通路。圖9A顯示檢驗系統930,其將來自訊號源932的檢驗訊號934導引通過孔950,且然後進入轉移腔室,以與從轉移腔室913水平移動通過轉移埠919進入處理模組的工件互動。適當的偵測器接著偵測或量測散射的訊號935,以獲取量測資料。
在本發明之一實施例中,檢驗系統可為使用光訊號源932及影像擷取裝置940的光學偵測系統。接著,關聯於所擷取影像的資料可受處理,例如藉由主動阻絕控制系統522。包含如透過主動阻絕控制系統所實施之影像處理系統的檢驗系統可分析所擷取影像的表面分量。或者,如此光學偵測系統可使用關聯於由光學偵測系統擷取之影像的圖案分析、或厚度分析或應力分析。然後可依據本發明將如此量測資料用於提供關聯於任何不合格性或缺陷之偵測的主動阻絕及修正性處理。
圖9B顯示本發明之替代性實施例,其中檢驗系統930可整體位於轉移模組912之轉移腔室913內,並設置在鄰近通往所示處理模組之轉移埠的個別區域915中,以相對工件於其中移動之水平平面917設置在內部。檢驗系統930擷取關聯於工件之表面的影像,該影像接著可由主動阻絕控制系統加以處理以提供表面分析、圖案分析、厚度分析、應力分析等。依此方式,可在工件移動進出共用平台900中之諸多處理模組時快速獲取量測資料。
圖10A及10B顯示結合本發明之特徵的其他替代性平台1000及1000a,其中基板係經由複數不同處理模組加以處理,該等處理模組可包含與一或更多蝕刻模組及一或更多膜形成模組,其與一或更多量測/計量模組組合,以提供由主動阻絕控制系統使用的量測資料,而用於在修正不合格性及缺陷方面控制整體製程序列。平台1000可結合分散式轉移系統,其結合一或更多轉移機構1014以在平台之諸多模組各處選擇性移動工件。參照圖10A,分散式系統結合經由前端模組1001存取的至少一真空腔室1002。真空腔室1002可為單元腔室,其整體上定義具有用於與腔室1002耦接之複數埠1004的單一腔室,該腔室1002容納分散式轉移系統。或者,亦如圖10A所示,真空腔室1002可分為複數內真空腔室1010,其如所示經由複數個別穿越埠1012耦接在一起。在如此實施例中,所使用的轉移機構可如所示結合複數轉移機構1014,該等轉移機構1014係關聯於內真空腔室。
保持在平台1000上的諸多處理模組可包含一或更多膜形成模組,例如選擇性沉積(SD)模組1030。再者,平台可包含一或更多蝕刻模組1032及一或更多清潔模組。並且,可結合複數計量/量測模組1036。一或更多其他處理模組1038亦可結合於平台1000上,且因此結合在共用平台上之處理及量測/計量模組的類型並不限於圖10A所顯示者。包含諸多處理模組以及量測/計量模組的平台1000係與主動阻絕控制系統1040耦接,以提供量測資料、原位處理資料、及其他依據本發明控制處理序列的資料。亦即,指出不合格性及/或缺陷的量測資料係由主動阻絕控制系統使用於修正性處理,並用以控制整個平台的處理模組之諸多者及工件的移動。
主動阻絕控制系統亦控制真空腔室1002內、以及基板被轉移通過之個別內真空腔室1010內的壓力。舉例而言,當工件在平台1000中之所示分散式轉移系統內轉移時,控制系統1040將控制諸多內真空腔室1010之間的壓力差。再者,控制系統1040將控制並維持分散式轉移系統之真空腔室1002與關聯於諸多處理模組之一或更多者之真空腔室之間的處理壓力差。依據本發明的另一特徵,結合真空腔室1002及一或更多轉移機構1014的平台1000亦可結合一或更多檢驗系統1050,以供在工件行進通過平台1000時獲取由控制系統1040產生的量測資料。如所示,在內真空腔室1010包含轉移機構1014及個別檢驗系統的情況下,腔室1010之各者可運作為如此處討論的轉移量測模組(TMM)。穿越埠1012的一或更多者可包含負載鎖機構,以在真空腔室1010其中一者中形成分階收納(staging)區域來儲存一或更多工件。
除了所示之諸多處理模組外,平台1000可結合例如提供批次處理(例如用於原子層沉積)的一或更多批次處理模組1060。關聯於批次處理模組1060的為批次/解批次分階收納站1070及接著的退出/重設計分階收納站1072,其中可將進出批次處理之工件的諸多者分階收納。當控制系統1040正在提供內真空腔室1002與關聯於處理模組之腔室之一或更多者之間的所需壓力差時,如此腔室或區域亦可用做儲存腔室。
依據本發明之一態樣,當工件在平台1000各處移動、並進出處理模組及內真空腔室1010的諸多者時,環境條件係於工件在真空腔室1002與處理模組之腔室之間轉移時於其之間維持。環境條件可包含壓力、氣體組成、溫度、化學品濃度、濕度、或物相的至少一者。控制系統1040將依需要維持該(複數)環境條件以供處理及轉移。並且,系統環境條件可在真空腔室1002中、諸多內部區段或內真空腔室1010之間藉由控制系統1040加以維持。再次,如此環境條件可包含壓力、氣體組成、溫度、化學品濃度、物相、濕度等的至少一者。在諸多區段或內真空腔室1010與一或更多其他內真空腔室1010之間維持的環境條件可至少部分基於可由檢驗系統1050在基板上執行之量測或掃描的類型,該基板係設置於特定內真空腔室1010內。如此環境條件可包含壓力、氣體組成、溫度、或物相濃度。如所述,針對處理,當基板在平台1000內轉移時,可能必須在諸多內真空腔室之間維持系統壓力差,且控制系統1040維持如此條件。再者,當基板在真空腔室1002與處理模組之間轉移時,可能必須在真空腔室1002與處理模組之腔室之一或更多者之間維持處理壓力差。為此,批次分階收納站1070及退出分階收納站1072針對真空腔室1002內的諸多工件作為分階收納區域,直到達成系統壓力差或處理壓力差。又進一步而言,可能期望基於執行中之量測或計量程序的類型而維持系統環境條件。如此環境條件可包含壓力、氣體組成、溫度、或物相濃度。
平台1000、1000a可設置諸多處理模組,包含但不限於膜形成設備、蝕刻設備、沉積設備、磊晶設備、清潔設備、微影設備、光微影設備、電子束設備、光敏感性或電子敏感性材料塗佈設備、電磁(EM)處理設備、紫外光(UV)處理設備、紅外光(IR)處理設備、雷射束處理設備、熱處理設備、退火設備、氧化設備、擴散設備、磁退火設備、離子佈植設備、電漿浸沒離子佈植設備、低溫或非低溫之霧劑或非霧劑乾式清潔設備、中性束設備、帶電粒子束設備、電子束處理設備、離子束處理設備、氣體叢集束設備、氣體叢集離子束設備等。處理模組可包含乾相設備、液相設備、氣相設備等。此外,處理模組可包含單一基板處理設備、迷你批次處理設備(例如少於10個基板)、批次處理設備(例如多於10個基板)等。
圖10C-10E顯示可與此處討論之共用平台實施例一起實施的例示性處理模組。圖10C顯示整體上將包含腔室1073的膜形成或沉積模組1071。膜形成模組1071可包含真空沉積腔室、或大氣塗佈腔室。模組1071亦可包含例如用於大氣塗佈腔室的液體分配系統1074、或例如用於對沉積腔室1073中之電漿供電的RF電源1076。模組1071亦可結合液體源起泡器1078,其可耦接至液體分配系統1074以提供適當的材料物相至例如沉積腔室的腔室1073。膜形成模組1071亦可針對沉積腔室1073中之膜沉積的目的,而使用一或更多濺鍍靶材1080,且可耦接至一或更多氣體源1081a、1081b。
圖10D顯示結合處理或蝕刻腔室1083的膜移除或蝕刻模組1082。舉例而言,蝕刻模組可包含電漿蝕刻模組、無電漿蝕刻模組、遠端電漿蝕刻模組、大氣或次大氣條件(例如真空)下的氣相蝕刻模組、蒸氣相蝕刻模組、液相蝕刻模組、等向蝕刻模組、非等向蝕刻模組等。舉例而言,蝕刻模組1082可包含液相、蒸氣相、或氣相分配或分佈系統(例如1085a、1085b、1086)、壓力控制元件、溫度控制元件、基板固持及控制元件(例如靜電夾持卡盤(ESC)、分區溫度控制元件、背側氣體系統等)、及用於在蝕刻腔室1083中產生電漿的電源1084(例如RF電源)。
圖10E顯示具有用於適當容納基板之清潔腔室1089的清潔模組1088。舉例而言,清潔模組1088可包含濕式清潔模組、乾式清潔模組、旋轉式清潔模組、浸浴式清潔模組、噴灑式分配清潔模組、中性束清潔模組、離子束清潔模組、氣體叢集束清潔模組、氣體叢集離子束清潔模組、低溫或非低溫霧劑清潔模組等。清潔模組1088可包含液體源、浸浴、液體分配或噴霧嘴1090、旋轉卡盤、嵌套式液體分配捕集擋板、壓力控制元件、溫度控制元件等。清潔模組1088亦可結合氣體源、低溫冷卻系統1092、氣體噴嘴、霧劑噴嘴、壓力控制元件、溫度控制元件等。
如所述,例如修正性處理程序正進行中、或平台中之製程參數受到調整時,平台1000可用以分階收納一或更多基板以供儲存。為此,批次/解批次分階收納站1070或退出分階收納站1072可在鄰接之穿越埠1012其中一者處結合負載鎖,使得個別內真空腔室1010的一或更多者可在整體平台內運作為單獨的分階收納區域,而使得諸多工件可儲存在至少一內真空腔室內。再者,針對批次處理模組1060或當系統參數受到調整時,批次分階收納站1070及退出分階收納站1072亦可運作為分階收納區域。
圖10B顯示類似圖10A之平台的另一可能平台布局,其中類似的參考編號用於圖10B之處理模組、控制系統、及部件的諸多者。參照圖10B,平台1000a可包含一或更多膜形成模組1030及蝕刻模組1032,其與用以移動工件於平台各處的TMM 1010耦接。並且,量測模組1036可結合至平台上,以供依據本發明偵測不合格性及缺陷。平台1000a亦可包含清潔模組,例如濕式清潔模組1034a或乾式清潔模組1034b。再者,平台1000a可結合針對批次量測而實施的一或更多量測模組1036。如所示,與批次處理模組1060相對,可實施一或更多量測模組1036,使量測得以進行,且量測/計量資料係於工件在批次中時、且在工件經由退出分階收納站1072退出及/或重新調整之前收集。平台1000a係在如所示之主動阻絕控制系統1040的控制之下,且工件可依據本發明以大致線性方式在諸多處理模組與量測模組之間來回移動,以偵測不合格性及缺陷,且對工件提供修正性處理。
主動阻絕及工件處理範例
如在此所述,主動阻絕控制系統係配置成部分基於來自工件之量測資料執行修正性處理。例如反映一或更多處理模組之處理參數或設定之製程參數資料的其他資料、以及共用製造平台之平台效能資料亦可輸入至主動阻絕控制系統。資料由主動阻絕控制系統加以處理,以判定工件中的不合格性及缺陷,並判定將在主動阻絕期間執行於平台中的修正性處理之途徑。如所示,當偵測到不合格性時,修正性處理可於製程序列中上游或下游的處理模組中執行。主動阻絕控制系統係與平台的諸多量測模組及TMM耦接,並處理量測資料及其他資料,以控制製程序列中工件的移動及處理。
依據本發明之一特徵,修正性處理可包含在整體製程序列中執行矯正性製程序列。舉例而言,矯正製程可包含清潔工件及/或移除膜或膜的一部分。或者,可執行調整製程序列。更進一步而言,若工件無法被修正,修正性處理可簡單地使工件從平台及製程序列退出。在任一情形中,操作者可受通知偵測到不合格性。
圖11顯示用於實現本發明的主動阻絕控制系統1110及部件1120。主動阻絕控制系統可整體或部分定位成與製造平台一起,且通常將使用具有至少一處理器的電腦系統執行。用於實施主動阻絕控制系統1110的部件1120可為用以執行主動阻絕控制系統之電腦的一部分,或可為由主動阻絕控制系統例如透過網路所呼叫的資源部。因此,此處所述的諸多硬體布局並非限制性。
圖12顯示適用於提供本發明之主動阻絕控制的系統設備1210之例示硬體及軟體環境。針對本發明的目的,設備1210實務上可表示任何電腦、電腦系統、或可程式裝置,例如多使用者或單使用者電腦、桌上型電腦、可攜式電腦及裝置、手持式裝置、網路裝置等。在此,設備1210將被稱為「電腦」,然而吾人應察知,用語「設備」亦可包含其他合適的可程式電子裝置。
電腦1210典型地包含耦接至記憶體1214的至少一處理器1212。處理器1212可表示一或更多處理器(例如微處理器),且記憶體1214可表示隨機存取記憶體(RAM)裝置,其包含電腦1210的主儲存部、以及任何補充層級記憶體,例如快取記憶體、非揮發性或備份記憶體(例如可程式或快閃記憶體)、唯讀記憶體等。此外,記憶體1214可視為包含實體定位於電腦1210中他處的記憶體儲存部(例如處理器1212中之任何快取記憶體)、以及用作虛擬記憶體的任何儲存容量(例如,當儲存在類似資料庫1216或任何外部資料庫之大量儲存裝置上、或儲存在直接或經由網路1232耦接至電腦1210且總稱為資源部1230的其他電腦或系統上時)。
電腦1210亦典型地接收若干輸入及輸出以供與外部交流資訊。針對與使用者或操作者以介面連通,電腦1210典型地包含透過人機介面(HMI)1224耦接的一或更多使用者輸入裝置。電腦1210亦可包含顯示器作為HMI的一部分,以在偵測到不合格性時,依據本發明的系統對操作者提供視覺輸出。通往電腦1210的介面亦可透過直接或遠端連接至電腦1210的外部終端,或透過經由網路、數據機、或其他類型之通訊裝置與電腦1210連通的另一電腦。
電腦1210在操作系統1218的控制下運作,並執行或以其他方式相依於整體指示為應用部1220的諸多電腦軟體應用程式、部件、程式、物件、模組、資料結構等。圖11所示的諸多部件1120可為電腦1210之應用部的一部分,或可做為如所示之遠端資源部1230而被存取以供更穩健的處理。應用部及處理的一部分將亦包含諸多資料結構1222及如此處所述的資料,該資料可包含例如量測資料、製程參數資料及平台效能資料(例如資料庫應用部)。電腦1210經由適當的網路介面1226在網路1232上通訊。實施如所揭示之主動阻絕控制系統的電腦將直接或經由網路與製造平台1240及其控制元件之一或更多者連接,而用於從製造平台收集資料及控制製程序列以供主動阻絕的目的。
總體而言,無論是實施為操作系統或特定應用部、部件、程式、物件、模組或指令序列的一部分,執行用以實施本發明之實施例的子程式在此皆將稱為「電腦程式碼」或簡稱為「程式碼」。電腦程式碼典型地包含在諸多時間點存在於電腦中諸多記憶體及儲存裝置中的一或更多指令,且當該指令由電腦中的一或更多處理器讀取及執行時,該指令致使電腦實行對於執行體現本發明之諸多態樣之步驟或元件為必要的步驟。再者,熟悉所屬領域者將察知,主動阻絕控制系統的諸多處理部件及工具能以諸多形式且在諸多位置被分散為程式/應用部。
吾人應察知,以下的任何特定程式命名法係僅為了便利起見,且因此不應將本發明限制在僅用於所指出之任何特定應用及/或由如此命名法所暗示。再者,在其中電腦程式/應用可組織成子程式、程序、方法、模組、物件等的無數方式、以及其中程式功能性可沿存在於典型電腦內(例如操作系統、程式庫、應用程式介面(API)、應用程式、小應用程式等)或外部資源中之諸多軟體層分配的諸多方式已知的情況下,吾人應察知,本發明不限於此處所述或說明的特定組織及程式功能性之分配。熟悉本領域者將察知,圖12所示的例示環境並非意圖限制本發明。確實熟悉本領域者將察知,可在不背離本發明之範疇的情況下,使用其他替代性硬體及/或軟體環境。
參照圖11,主動阻絕控制系統可提供用於預測不合格性之存在的圖案辨識。為此,主動阻絕控制系統包含圖案辨識部件,例如可操作用以從受量測者抽取及分類資料圖案、並基於所量測之資料預測是否存在不合格性的圖案辨識引擎1122。舉例而言,工件之若干特徵可指示資料中的不合格性及不規則性,且可反映於量測資料中獲得之圖案中。圖案辨識可利用資料容量或額外資料來對量測精密度、或欠缺量測精密度加以補償。複數變量的量測可結合至及/或關聯於識別資料中之不合格性或不規則性。如此一來,可進行較不精密的量測並產生相關性,以達成與較精密之量測系統相同的成果。舉例而言,可針對經處理的工件產生光學「指紋」,其代表可接受的處理行為。「指紋」的偏離可在圖案偏移時被察覺,因此可識別修正措施的機會,例如在上游及/或下游製程中執行修正性措施、或藉由移除製程結果並重複等而將上游製程進行重工(rework)。圖案辨識引擎1122可實施所示之深度學習架構或引擎1124,該深度學習引擎1124可使用一或更多神經網路及監督式或無監督學習來實施圖案辨識。舉例而言,深度學習引擎1124可實施多變量分析(MVA),以分析不合格性或不規則性,並判定可能原因,以供修正性處理。一類型的多變量分析包含主成分分析(PCA)。PCA為將一組可能有相關性之變量之觀察值轉換成一組主成分的統計程序。每一主成分(例如特徵向量)係關聯於一分數(例如特徵值),且主成分可由分數值以遞降次序加以排序。如此一來,第一主成分代表在經轉換資料組之n維空間內之對應主成分的方向上於資料中的最大變異數。後續每一主成分在其正交於前一成分的條件下具有最高變異數。每一主成分識別資料組中每一變量的「權重」。可將後續的觀察值投射到一或更多主成分上,例如第一主成分及/或其他成分,以計算分數(例如來自新觀測值與第一主成分之向量積的分數A)、或一或更多分數的數學調處(例如分數A+分數B/分數C等)。舉例而言,從經處理之工件(來自單一位置或複數位置)散射的光可表示一觀測值。當與複數觀測值耦合時,可建立由一或更多主成分組成的模型,且後續將其用以「評分」經處理的工件。當分數或一系列分數從經定義之「正常行為」、或可接受製程窗偏離時,可進行修正性措施,亦即在上游及/或下游製程中執行修正性措施,或例如藉由移除製程結果並重複而將上游製程重工。
圖案辨識引擎可使所抽取之資料圖案與所學習的工件上屬性相互關聯。圖案辨識引擎可實施存取例如資料庫1132中之一或更多已學習屬性1128的相關性引擎1126,以使呈資料圖案形式的量測資料與已學習屬性相互關聯。舉例而言,一已學習屬性可包含工件上的缺陷,例如一或更多顆粒汙染物。如此缺陷可與量測資料圖案相互關聯而用於偵測待處置之不合格性。在其他實施例中,該缺陷可指示工件屬性之超出容限狀況。舉例而言,超出容限的工件屬性可包含厚度、臨界尺寸(CD)、表面粗糙度、特徵部輪廓、圖案邊緣放置、孔隙、選擇性的損失、不均勻性之程度、或負載效應。如此效應或諸多如此效應的組合可由主動阻絕控制系統用於不合格性的圖案辨識。
在另一實施例中,已學習屬性並非為缺陷,而是可包含工件上之缺陷的可能性。如此已學習屬性可與量測資料相互關聯,以預測不合格性的存在。如所述,主動阻絕控制系統將實施一或更多人類介面部件,例如用於工件之一區域之視覺化的顯示部件,以對操作者顯示不合格性存在處。
相關性引擎/部件1126亦可用於預測不合格性是否存在。具體而言,量測資料係於工件之二或更多區域中獲得。相關性引擎1126使用來自複數位置的量測資料並基於位置量測資料的相關性,便可預測不合格性的存在。
依據本發明之另一特徵,由主動阻絕控制系統使用人工智慧特徵。具體而言,如本文以下進一步討論,呈自主性學習部件或引擎1130之形式的機器學習可由系統實施。自主性學習引擎接收量測資料並產生知識。該知識將量測資料1136及製程序列的效能特性化,且在偵測不合格性時決定措施計畫或修正性處理計畫,以在不合格性存在之狀況中修正製程序列。自主性學習引擎亦將實施可關聯於處理模組之量測或診斷資料的製程參數資料1138、及關聯於製造平台及其上之處理模組的平台效能資料1140的其中一或更多者。製程參數資料及平台效能資料係於自主性學習引擎中與量測資料組合以形成知識。由自主性學習引擎提供的機器學習可結合監督式學習,該監督式學習將例如量測資料之輸入值映射至可用以決定修正性處理的輸出值。
或者,自主性學習引擎可使用群聚分析或叢聚法以將諸多缺陷分組,而例如用於判定不合格性是否存在,及決定用於處置不合格性的修正性處理。
或者,舉例而言,自主性學習引擎可使用降維演算法,其例如從若干不同處理步驟決定可用以處置所偵測到之不合格性的適當修正性處理步驟。
或者,自主性學習引擎可使用結構預測演算法,而用於決定處置特定類型的所偵測到之缺陷不合格性的修正性處理。
或者,自主性學習引擎可使用群聚分析或叢聚法以將諸多缺陷分組,而例如用於判定不合格性是否存在,及決定用於處置不合格性的修正性處理。
或者,自主性學習引擎可使用異常偵測演算法來判定不合格性。
或者,自主性學習引擎可使用強化學習來決定修正性處理及結果。
透過自主性學習引擎實施之諸多機器學習演算法的諸多組合可用於產生將量測資料及製程序列之效能特性化、並決定用以處置任何偵測到之不合格性之修正性處理措施的知識。自主性學習引擎可實施關聯於製程序列或配方1134的資料,以決定適當的修正性處理步驟。再者,主動阻絕控制系統可實施來自一或更多資料庫1132之現存資料,用於提供量測資料1136、製程參數資料1138及平台效能資料1140的必要機器學習及人工智慧處理,以偵測不合格性並決定修正性處理步驟。
量測資料可為評估用之工件屬性的定量量測,其用於判定是否有不合格性或缺陷。或者,量測資料可為工件屬性之定量量測的代理。舉例而言,代理容許吾人使用較不精密的技術量測所需的例如膜厚度之工件屬性(亦即工件屬性的近似值)、及/或量測代表所需工件屬性的另一工件屬性。
在一實施例中,主動阻絕控制系統包含互動部件1137,其與自主性學習引擎1130一起運作並接收量測資料。如此處揭示並相關於圖17-37所述,自主性學習引擎/部件可與互動部件介接,以處理用於製造平台之主動阻絕及控制的資料。互動部件包含配接器部件,其係配置成封裝量測資料並將經封裝之資料輸送至自主性學習引擎。自主性學習引擎接收經封裝之資料並產生將經封裝資料及製程序列之效能特性化的知識。自主性學習引擎1130更包含處理經封裝資料的處理平台,其中該處理平台包含在經封裝資料上運作的一組功能單元。該組功能單元包含調適性推論(adaptive inference)引擎,其分析經封裝資料並至少部分基於製程序列之製程目標而推論應執行之措施。功能單元亦包含目標部件以及儲存知識的記憶體平台,該目標部件至少部分基於資料或脈絡改變(context change)其中一者發展製程目標。在自主性學習引擎中,記憶體平台包含記憶體階層,其包含長期記憶體、短期記憶體、及事件記憶體。長期記憶體儲存包含實體、關係、或程序其中至少一者的一組概念。該組概念中的一概念包含指示一概念對製程序列之目前狀態之相關性的第一數值屬性、及指示使用該概念之困難程度的第二數值屬性。互動部件亦從複數處理模組之一或更多者接收模組診斷資料。當互動部件製備經封裝資料時,其將模組診斷資料與量測資料一起封裝。
互動部件亦包含促進與外部作用者之資料交換的互動管理器。訓練資料可為經封裝資料或與外部作用者交換之資料之任一者的一部分,或該兩組資料皆可包含訓練資料。該訓練資料可包含關聯於作業(例如製備用於沉積薄膜的表面、在工件之目標區域上沉積具有指定厚度的薄膜、移除沉積在工件之非目標區域上之薄膜的(複數)部分等)之模組製程或變量的識別、關聯於該作業之二或更多模組製程或變量之間的功能性關係的至少一者。訓練資料亦可包含因果圖,該因果圖包含關聯於一組有關作業之模組製程或變量且存在於因果圖中的一組先驗機率(priori probabilities)、及使關於該作業之一或更多模組製程或變量相關且存在於該因果圖中的一組條件機率。或者,訓練資料亦可包含敘述製程序列之行為的一組參數。
圖17-37顯示以下進一步說明之自主性學習引擎/部件的一實施例,其可由本發明之主動阻絕控制系統1110實施。
依據本發明之一態樣,主動阻絕控制系統係以此處所述之製造平台及元件實施。主動阻絕控制系統從複數處理模組以及諸多量測模組擷取資料,俾處理關聯於工件之屬性的資料,以在有需要時於工件上提供修正性處理。更具體而言,不合格性、缺陷或汙染係基於量測資料而加以偵測,且修正性處理係於處理序列中執行而作為主動阻絕的一部分。修正性處理可在處於處理序列中之上游或下游的處理模組中執行。舉例而言,若偵測到缺陷或不合格性,位於處理序列中工件目前所在處起之上游或下游的處理模組中可具有修正性調整以嘗試並修正缺陷或不合格性。反之,為了在初期預防所偵測之缺陷或不合格性發生,可以修正性方式對處理流程中的一或更多處理模組加以調整或產生作用,以在初期預防缺陷或不合格性發生,例如在後續工件中。
更具體而言,製造平台包含一或更多工件轉移模組,其受配置並受控制以在處理序列中移動工件,例如在諸多處理模組及量測模組之間。主動阻絕控制系統係配置成用於控制工件在處理序列中的移動及處理,及用於處理來自工件的量測資料以及關聯於處理模組之原位資料。主動阻絕控制系統使用量測資料來控制處理序列中的工件移動。
上游及下游方向上的修正性處理將由主動阻絕控制系統選擇性地控制。總體而言,製造平台將包含一或更多膜形成模組及一或更多蝕刻模組。在一控制序列中,修正性處理係在工件已於膜形成模組中受處理且然後受量測以偵測不合格性或缺陷之後在蝕刻模組中執行。或者,修正性處理係在工件先前已在膜形成模組中受處理之後在另一膜形成模組中執行。在另一情形中,本發明在偵測到不合格性或缺陷時提供修正性處理,且修正性處理係於膜形成模組中之處理之前在例如清潔模組之處理模組中執行。
本發明的一特定用途為在例如自對準多重圖案化(SAMP)之多重圖案化處理中,該自對準多重圖案化包含SADP(雙重圖案化)、SATP(三重圖案化)、SAQP(四重圖案化)、及SAOP(八重圖案化)、四重圖案化(SAQP)。如此自對準多重圖案化技術已使習知浸沒式微影術能用以印製符合先進技術節點之尺寸縮放需求的次解析特徵部。該方法一般包含在基板上產生心軸圖案(對SATP而言為雙重心軸),並保形地施加薄膜於心軸圖案上。然後將保形薄膜部分移除,留下心軸圖案之側壁上的材料。然後將心軸圖案選擇性地移除,留下來自心軸側壁的薄圖案。然後可將如此圖案用於選擇性蝕刻,以將圖案傳遞或轉移至一層。
為了促進SAMP處理,此處所示的共用平台配備有蝕刻模組、薄膜形成模組、清潔模組、及其他預處理或後處理模組。共用平台接收具有已形成於其上之心軸圖案的工件或基板。在製程序列中的第一步驟期間,將薄膜(稱為間隔膜)保形地施加至心軸圖案。然後,依據本發明,在此步驟完成時,重要的是驗證薄保形膜的品質。此可藉由將工件移動至一或更多量測模組或將工件傳遞通過轉移量測模組之量測區域而完成。在量測模組中,量測關聯於薄膜屬性的資料。舉例而言,量測膜保形性、膜厚度及基板範圍之膜厚度均勻性、膜之組成、膜應力等。通常,間隔膜為矽氧化物、或矽氮化物。取決於施加薄膜的製程條件,為拉伸性或壓縮性的應力可存在於薄膜中,其對於進一步處理可能是危害。在保形膜施加結束時,使基板經歷蝕刻步驟,稱之為間隔件蝕刻。保形膜在心軸圖案之間的表面上、及心軸的頂部表面上被非等向性移除,留下心軸圖案之側壁上的保形膜。當此步驟完成時,同樣重要的是藉由評定心軸側壁上之膜厚度及基板範圍之膜厚度的均勻性、膜組成或因蝕刻製程對膜造成的任何改變或損壞、留下之多樣式圖案(亦即心軸及間隔件等)的臨界尺寸(CD),來驗證留在心軸圖案上之薄保形膜的品質。然後,可施加清潔製程以移除殘留物,且可執行處理步驟以補償先前步驟的任何者。在(間隔件)蝕刻步驟完成時,使基板經歷另一蝕刻步驟,以選擇性移除心軸,而留下側壁間隔件,稱之為心軸拉除蝕刻。在此步驟完成時,重要的是藉由評定間隔件厚度或CD、間隔件高度、基板範圍的間隔件之CD及/或厚度的均勻性、間隔件輪廓或形狀(例如側壁角度、或從90度之偏離等)或諸如此類,來驗證留在基板上之間隔件圖案的品質。
製程序列在受控環境內進行,且包含週期性計量步驟以評定節距縮減序列、及所產生留在基板上之間隔件圖案的品質。多重圖案中的缺陷將延伸至基板上的下方膜中。依據此處所述的實施例,本地或遠端地定位於共用平台上的智慧設備及製程管理系統及主動阻絕控制系統可控制大量製造環境中的SAMP製程序列,以產生改善的良率及循環時間。該控制可(i)識別產生超出目標規格之基板結果的製程步驟;(ii)針對超出規格之製程步驟提取資料,例如工件量測及計量資料等,模擬超出規格狀況在下游製程步驟上的影響;(iii)顯示資料或資料的部分;(iv)將對於製程配方之(複數)製程配方調整最佳化,包括上游或下游製程調整,以補償缺陷;及(v)將(複數)建議配方調整與製程流程聯繫以供採用,以修正超出規格狀況。舉例而言,若SAMP製程期間形成的成品間隔件圖案呈現有缺陷的輪廓,例如過度傾斜,間隔件圖案轉移將導致下游硬遮罩開口CD變異,且若未修正將可能產生失效。在此情形中,智慧控制器可從沉積工具配方資料庫考量所有修正性選項,並基於所有下游單元製程配方組合針對有問題的基板模擬結果。然後,可執行修正性措施,包含略過目前的製程步驟、使目前的製程步驟失效並捨棄基板、或在目前製程步驟之上游及/或下游藉由補償製程步驟的缺陷而補救該製程步驟。
在本發明的另一實例中,修正性處理及主動阻絕可在蝕刻製程中實施。在蝕刻應用期間,重要的是監測若干基板上之產品參數,以確保圖案轉移製程的完整性。用於依據本發明之量測資料擷取的產品參數可包含特徵部CD(上至下)、特徵部深度、CD及深度均勻性(基板範圍、針對密集及稀疏特徵部等)、相對於基板上暴露之材料的蝕刻速率及選擇性、及圖案輪廓,圖案輪廓包含側壁彎曲、側壁角度、角隅倒角等。依據本發明,若干控制參數存在於蝕刻模組上,以調整或控制產品參數,且如此製程參數亦可由主動阻絕控制系統擷取,以判定不合格性或缺陷是否已發生在工件的製程中。修正性處理可涉及控制或修改製程參數的一或更多者,以供未來的工件處理,或當偵測到如此不合格性及缺陷時用於使後續補救製程產生作用。如此製程參數可包含氣相環境的化學組成、進入模組之製程氣體的流速、壓力、來源、及/或用於電漿產生及維持之偏壓射頻(RF)功率、基板溫度、基板背側氣體壓力、(複數)腔室溫度、直流(DC)電壓、關聯於氣體流及/或功率(例如脈衝振幅、脈衝寬度、脈衝週期、脈衝工作週期等)之時間性與空間性調變的參數等。一些控制參數(例如基板溫度、及在較小程度上例如功率及氣體流)可在空間上分區以處置或控制製程均勻性。此外,若干製程參數存在於蝕刻模組上,以在處理期間監測預測產品結果者,包含電漿光發射(例如光發射光譜,OES)、RF功率(前向及反射)及阻抗匹配網路設定、電性質(包含電壓及電流)以監測電漿條件、穩定性、發弧等、及許多其他感測器及方法以監測離子溫度(Ti )、電子溫度(Te )、離子能量分布函數(iedf)、離子角度分布函數(iadf)、電子能量分布函數(eedf)、離子及/或自由基通量等。如此製程資料可由主動阻絕控制系統擷取並使用,以提供修正性處理。
膜形成亦在製程序列中提供一時間點,其中量測/計量資料受到擷取,且若偵測到不合格性或缺陷,可執行修正性處理。在薄膜形成應用中,可使用本發明的量測模組及TMM量測或監測若干基板上產品參數,以確保形成在基板上之膜的品質,舉例而言,可擷取關聯於膜厚度、對基板形貌之膜保形性、膜組成、膜應力、膜選擇性、針對密集及稀疏特徵部之基板範圍的膜可平坦化性、膜電性質(例如介電常數)、膜光學性質(例如折射率、光譜吸收率、光譜反射率等)、膜機械性質(例如彈性模數、硬度等)、及膜性質均一性等的量測資料。基於在工件中偵測到的不合格性,修正性處理可藉由控制膜形成模組中的若干控制參數實施於製程序列中之現用的工件上或未來的工件上,以調整獲控制產品參數,包含膜前驅物的化學組成及物相、汽化器或安瓿的溫度、載體氣體流率、前驅物輸送管線溫度、腔室中之氣相環境的化學組成、進入模組之製程氣體的流率、壓力、在電漿輔助沉積設備中用於電漿產生及維持的來源及/或偏壓射頻(RF)功率、基板溫度、基板背側氣體壓力、(複數)腔室溫度、關聯於氣體流及/或功率之時間性及空間性調變的參數等。
可擷取的額外量測資料係關於顆粒汙染,其係元件製造期間之變異的來源,且可歸類為缺陷。在一些實施例中,共用平台配備有蝕刻模組、膜形成模組、清潔模組、及其他前處理或後處理模組、或其子集合,且平台可使用包含有顆粒移除設備的製程模組。因此,當偵測到顆粒汙染時,主動阻絕控制系統可實施使用顆粒移除設備的補救製程步驟,該顆粒移除設備可包含氣相或部分液化氣相射束或噴流。如此製程模組的顆粒移除射束或噴流可為低溫或非低溫,且可包含霧劑、氣體叢集等。共用平台亦可與缺陷檢驗量測模組組合,以執行監測工件表面掃描、計數顆粒、及識別膜缺陷。缺陷檢驗模組可包含使用暗場及/或明場照明以偵測顆粒之存在的光學檢驗。或者、或此外,缺陷檢驗模組可包含電子束檢驗。一旦偵測到缺陷,主動阻絕控制系統對製造平台中之製程序列產生作用以修正性處理工件,來移除任何汙染性顆粒。
依據本發明的另一態樣,由本發明藉由主動阻絕控制系統處理的資料將包含製造量測/計量資料,其係從實施於共用製造平台中的量測模組或TMM判定。如此製造量測資料為部分或完全基於執行在共用製造平台上之製程序列的工件之屬性量測值。如此資訊可與所收集的其他資料組合,該其他資料包含製程參數資料,其關聯於共用平台中一或更多製程模組之若干製程參數或設定;以及反映若干參數及設定和關於共用製造平台之資訊的平台效能資料。
製程參數資料可包含在處理模組中執行之一或更多製程條件的示值。舉例而言,製程條件可基於電漿密度、電漿均勻性、電漿溫度、蝕刻速率、蝕刻均勻性、沉積速率、及/或沉積均勻性的至少一者。如此量測到的製程條件亦可包含施加至設置在處理模組內之電漿源的能量之振幅、頻率、及/或調變其中一者。又進一步而言,製程條件可包含在製程序列期間流至處理模組中的氣體流率、設置在處理模組內之工件固持器的溫度、及/或製程序列期間處理模組中的壓力。
平台效能資料可包含有助於製程序列之執行之平台屬性的示值、或製程模組已暴露於製程序列之時間長短的示值。有助於製程序列的例示平台屬性可包含製程冷卻水溫度、製程冷卻水流率、製程模組處理時間、及/或製程模組累積厚度。
當使用包括製造量測資料、製程參數資料及/或平台效能資料的諸多資料偵測到不合格性時,可執行主動阻絕。主動阻絕係對製程序列執行於受量測之工件上、或後續受處理之工件上。亦即,資料可用以修正目前的工件,或可稍後用以修正後續受處理的工件,使進一步的不合格性不致發生。
在替代性實施例中,量測資料可在處理模組中原位擷取並用於偵測工件的不合格性。舉例而言,諸多感測器可位於處理模組之腔室(例如蝕刻或膜形成或沉積腔室)內部,或者檢驗系統可存取處理腔室的內部空間。在如此情形中,原位製程量測資料可單獨使用,或與可被視為製造量測資料的其他量測資料結合使用,且工件的不合格性可基於所收集之製造量測資料或原位製程量測資料的至少一者加以偵測。然後在已收集量測資料之後,可在製程序列中執行主動阻絕,以在共用製造平台上之製程序列中執行工件的修正性處理。
依據本發明之一態樣,取決於所偵測到的不合格性或缺陷,目前工件上的主動阻絕之修正性處理可包含若干不同途徑。在一例示性途徑中,製程可在處理模組的一或更多者內改變。此可發生在製程序列中工件目前所處之處上游的製程或模組中,或可發生在製程序列中下游的製程或模組中。
對於製程序列的製程改變可包含使工件暴露至補救製程序列,以修正不合格性。補救製程序列可包含經採取以處置或移除不合格性的步驟。舉例而言,可將工件的清潔加入作為製程序列中的步驟。工件的清潔可使用受低溫冷卻的噴霧加以處理,例如利用圖10E所示的腔室。再者,可將膜從工件移除,或可移除膜的一部分。如此補救步驟可執行於共用製造平台上。或者,補救製程序列可執行於共用製造平台之外。
或者,製程改變可包含使工件暴露於調整製程序列,以修改所偵測到的不合格性。調整製程序列可包含部分或完全基於不合格性從其偵測而得的製造量測資料或原位量測資料之即時量測來控制處理模組的一或更多製程參數或條件。調整製程序列可包含至少部分基於對應至不合格性之修正的模型來控制處理模組的一或更多製程條件。該模型可容許使用者預測被提供對於即將來臨之製程配方之改變的處理模組中之製程步驟的結果。並且,調整製程可包含膜形成製程、蝕刻製程、或膜處理製程之間的交替製程,以修改所偵測到的不合格性。
並且,若不合格性為不可補救、修正或修改者,可在主動阻絕中將工件捨棄。
在又另一替代例中,主動阻絕可包含將不合格性通知操作者,以容許操作者決定應採取的途徑。
依據本發明之另一態樣,原位製程量測資料可於製程序列之製程步驟期間在處理模組中原位收集。主動阻絕可指示亦將原位發生在獲得或收集原位製程量測資料之相同處理模組中的修正性處理步驟。亦即,工件可留在模組中且供與先前在進行原位量測前完成者相同之製程步驟中的進一步處理。
在執行主動阻絕之後,可將工件加以移動或控制以獲取工件之額外的製造量測資料,來判定不合格性上基於主動阻絕及修正性處理的影響。若修正性處理成功或朝正確的方向前進以處置不合格性或缺陷,可基於所判定的對不合格性之影響而對工件繼續製程序列。
實例
圖13A-13E說明區域選擇性沉積之主動阻絕的一實例,其用於透過主動阻絕移除自對準單層上的不期望之核。
現參照圖13A-13E,依據一例示性實施例,具有主動阻絕控制系統的製造平台可配置成執行及監測基板上的區域選擇性沉積方法,並收集量測資料及其他資料。在此實施例中,基板1300含有基底層1302、第一材料層1304之暴露表面、及第二材料層1306的暴露表面。在一實例中,基板包含介電層1304及金屬層1306。舉例而言,金屬層可含有Cu、Al、Ta、Ti、W、Ru、Co、Ni、或Mo。介電層1304可例如含有SiO2 、低k介電材料、或高k介電材料。低k介電材料具有低於SiO2 之大約4之介電常數(例如,熱生長氧化矽的介電常數可在3.8至3.9的範圍內)的標稱介電常數。高k材料具有大於SiO2 之介電常數的標稱介電常數。
低k介電材料可具有低於3.7的介電常數,或具有在1.6至3.7之範圍內的介電常數。低k介電材料可包含氟化矽玻璃(FSG)、碳摻雜氧化物、聚合物、含SiCOH低k材料、非多孔性低k材料、旋塗介電(SOD)低k材料、或任何其他合適的介電材料。低k介電材料可包含商業上可由Applied Materials, Inc.取得的BLACK DIAMOND@ (BD)或BLACK DIAMOND@ Il (BDII) SiCOH材料、或商業上可從Novellus Systems, Inc.取得的Coral@ CVD膜。其他商業上可取得的含碳材料包含SILK@ (例如SiLK-I、SiLK-J、SiLK-H、SiLK-D、及多孔性SiLK半導體介電樹脂)及商業上可從Dow Chemical 取得的CYCLOTENE@ (苯並環丁烯)、及商業上可從Honeywell 取得的GX-3TM 及GX-3PTM 半導體介電樹脂。
低k介電材料包含由單一物相構成的多孔性無機-有機混成膜,例如具有CH3 鍵的基於矽氧化物之基質,該CH3 鍵在固化或沉積製程期間阻礙膜的完全緻密化,而產生小孔隙(或孔洞)。又或者,這些介電層可包含由至少兩物相構成的多孔性無機-有機混成膜,例如碳摻雜基於矽氧化物之基質,其具備有機材料(例如致孔劑(porogen))之孔洞,該有機材料在固化製程期間分解並汽化。
此外,低k材料包含使用SOD技術沉積之基於矽酸鹽的材料,例如氫矽倍半氧烷(HSQ)或甲基矽倍半氧烷(MSQ)。如此膜的實例包含商業上可從Dow Corning取得的FOxR HSQ、商業上可從Dow Corning取得的XLK 多孔性 HSQ、及商業上可從JSR Microelectronics取得的JSR LKD-5109。
圖14顯示實施本發明之製造平台上的例示性製程序列之流程圖。製程流程的製程序列1400在步驟1402中包含將工件提供至平台的量測模組中或TMM中,工件在該量測模組或該TMM處受量測及特性化以產生量測資料(方塊1404)。
參照圖15,依據圖15所示之製程流程1500,一旦工件已被移動至含有檢驗系統的量測模組或TMM,或資料已原位加以收集,可將資料加以分析及處理以決定如何進行。更具體而言,可直接從工件收集資料,例如指示關聯於工件(例如已沉積或已蝕刻的特定層)上屬性之量測值的製造量測資料(步驟1502)。接著將如此資料導引至共用製造平台的主動阻絕控制系統。此外,且可能可選地,製程參數資料及/或平台效能資料可由主動阻絕控制系統獲取,以進一步做成如此處所揭示的決定。舉例而言,可針對緊接量測工件之前執行的製程擷取若干製程設定。再者,可獲取額外的平台效能資料以提供所偵測到之不合格性或缺陷是否關聯於整體製造平台的一些示值。
一旦資料已從其他來源(例如從處理模組的個別製程控制系統、或製造平台的控制系統)量測並收集,可如步驟1506所述將資料加以分析及處理。如此分析及處理可包含一些不同的演算法,例如機器學習演算法,其包含連同深度學習及自主性學習的圖案辨識及相關性。經由如此處理,可偵測不合格性及缺陷,如步驟1508所述。若在量測/計量程序中未發現可採取措施的不合格性或缺陷,可使工件在製程序列中照常前進。或者,若偵測到如此缺陷或不合格性,且主動阻絕控制系統判定其可被修正或補救,便執行製程序列的主動阻絕以如步驟1510提供修正性處理。若工件無法被修正或補救,則可使其從製程序列退出。
參照圖16,主動阻絕步驟可採取一些不同途徑。舉例而言,若主動阻絕由控制系統指示(步驟1600),可執行補救製程(步驟1602)作為補救製程序列,以修正不合格性。舉例而言,可將工件導引至另一處理模組,以對特定層產生作用而嘗試並修正不合格性。舉例而言,若該層被沉積且基於量測步驟而不夠厚,可將工件退回至前一處理模組,或導引至另一處理模組以供進一步的沉積。或者,補救製程序列可將一處理步驟強行導入蝕刻模組以移除先前已沉積之一層的一些者。
或者,若不合格性無法被修正,主動阻絕控制系統可將工件導引至調整製程序列,以修改偵測到的不合格性或缺陷。
更進一步而言,主動阻絕程序1600可實施步驟1606,其中製程序列參數及諸多其他處理模組被改變。舉例而言,取代在目前工件上提供主動阻絕,可透過特定製程序列之步驟或製程參數中的改變而對後續工件產生作用。將做成如此改變以防止任何未來的先前已偵測到之不合格性或缺陷。
最後,若對於工件的補救及調整不合適,且缺陷或不合格性可能無法克服,則主動阻絕可涉及僅將工件從製程序列退出,以不浪費額外的時間及資源在處理工件上。
回到圖14的流程圖,若主動阻絕為必要,其可如步驟1405所示進行。或者,若主動阻絕並非必要,則使工件在製程序列中照常前進。
隨著製程序列,在步驟1406中,可選地將工件轉移至處理模組中,以利用處理氣體加以處理。舉例而言,處理氣體可包含氧化氣體或還原氣體。在一些實例中,氧化氣體可包含02、1-120、1-1202、異丙醇、或其組合,且還原氣體可包含1-12氣體。氧化氣體可用以使第一材料層1304或第二材料層1306之表面氧化,以改善後續的區域選擇性沉積。在一實例中,處理氣體可含有電漿激發Ar氣體或由其組成。
在製程中,步驟1406可提供用於量測及阻絕的額外時間點。在步驟1408中,可選地將工件轉移至量測模組或TMM中,於步驟1406中受處理的工件係於該量測模組或TMM受量測及特性化。若指示主動阻絕,其可在步驟1409中執行。
然後,將基板轉移至另一處理模組中,自對準單層(SAM)係於步驟1410中在該處理模組形成於工件1300上。SAM可藉由暴露至含有能在工件上形成SAM之分子的反應物氣體而形成在工件1300上。SAM為藉由吸附而自發性形成於基板表面上並組織成大型大致有序區域的分子組裝。SAM可包含具有頭端基團、尾端基團、及功能性末端基團的分子,且SAM係藉由頭端基團從室溫或高於室溫的汽相化學吸附至工件上、後接尾端基團之緩慢組織而產生。起初,在表面上之小分子密度下,被吸附物分子形成混亂的大量分子、或形成有序的二維「倒臥相」,且在較高分子覆蓋率下,經過數分鐘至數小時的時段,開始在基板表面上形成三維結晶或半結晶結構。頭端基團在基板上組裝在一起,而尾端基團遠離基板而組裝。
依據一實施例,形成SAM之分子的頭端基團可包含硫醇、矽烷、或磷酸酯。矽烷的範例包括包含C、H、Cl、F、及Si原子、或C、H、Cl、及Si原子的分子。該分子的非限制性範例包括十八烷基三氯矽烷、十八烷基硫醇、十八烷基磷酸、全氟癸基三氯矽烷(CF3 (CF2 )7 CH2 CH2 SiCl3 )、全氟癸基硫醇(CF3 (CF2 )7 CH2 CH2 SH)、癸基二甲基氯矽烷(CH3 (CH2 )8 CH2 Si(CH3 )2 Cl)、及叔丁基氯二甲基矽烷((CH3 )3 CSi(CH3 )2 Cl)。
SAM在工件1300上的存在可用以實現第一材料層1304(例如介電層)上相對於第二材料層1306(例如金屬層)的後續選擇性膜沉積。此選擇性膜沉積行為乃預期之外,且提供選擇性沉積膜在第一材料層1304上且同時防止或減少第二材料層1306上之金屬氧化物沉積物的新方法。推測SAM密度在第二材料層1306上係相對第一材料層1304更大,可能起因於分子在第二材料層1306上相對第一材料層1304上的較高初始排序程度。第二材料層1306上的此較大SAM密度係於圖13B中示意性顯示為SAM 1308。
在SAM 1308形成於工件上之後,在步驟1412中,可選地將工件轉移至量測模組/TMM中,工件上之SAM 1308的形成情形係於該量測模組/TMM受到量測及特性化。若主動阻絕為必要,其可在步驟1413中執行。舉例而言,量測系統可進行量測並收集關聯於厚度、厚度不均勻性及/或保形性的資料。舉例而言,如此處所述,若SAM層的表面覆蓋率在厚度或保形性上不足,可能產生不佳的使用SAM層之選擇性沉積。並且,若SAM層不均勻,其可能導致層1306上的孔隙。經由TMM/量測模組中的量測,可偵測如此不合格性。在如此情形中,主動阻絕控制系統可將工件導引至蝕刻或清潔模組,以移除SAM層。舉例而言,若具有高度顆粒汙染或該層並非均勻或具有不正確尺寸,此便可進行。或者,若未適當地製作尺寸,可將SAM層加以補救,且若該層太薄,便將工件送至沉積腔室(例如回到前一模組)以設下更多膜。或者,若該層太厚,可將工件送至蝕刻模組作為主動阻絕或補救的一部分。
然後,將工件轉移至另一處理模組中,其中在步驟1414中,藉由將工件暴露至一或更多沉積氣體,而相對第二材料層1306上將膜1310(例如金屬氧化物膜)選擇性地沉積於第一材料層1304上。在一實例中,膜1310可包含金屬氧化物膜,該金屬氧化物膜含有HfO2 、ZrO2 、Al2 O3 。舉例而言,膜1310可藉由CVD、電漿增強CVD(PECVD)、ALD或電漿增強ALD(PEALD)沉積。在一些實例中,金屬氧化物膜1310可利用含金屬前驅物及氧化劑(例如1-120、1-1202、電漿激發02、或03)的交替暴露藉由ALD加以沉積。在膜1310的沉積期間,期望維持選擇性沉積且僅在層1304上但不在層1306上、或甚至SAM層1308上沉積膜1310。然而,由於若干條件,一些沉積可能發生在SAM層上。因此,依據本發明,在沉積膜1310完成時,量測發生在TMM或其他量測模組或量測區域中,且主動阻絕發生以處置層1308上的沉積。
如圖13C所示,除了將膜1310沉積在介電層1304上之外,處理模組中對一或更多沉積氣體的暴露亦可能在SAM 1308上沉積例如膜核1312的膜材料。若沉積製程執行太久,此沉積選擇性的損失便可能發生。或者,介電層1304與SAM 1308之間的沉積選擇性可能不佳。若SAM 1308的表面覆蓋性不完整且該層在第二材料層1306上含有孔隙,不佳的沉積選擇性亦可能發生。
因此,在工件上沉積膜1310之後,在步驟1416中,將工件轉移至量測模組/TMM中,其中膜1310的沉積隙由主動阻絕控制系統加以量測及特性化。特性化可判定沉積選擇性的程度、及用於從SAM 1308移除膜核1312的主動阻絕步驟是否為必要。若主動阻絕為必要,其可在步驟1417中執行,例如藉由將工件導引至蝕刻模組。
SAM 1308上的膜核1312可利用蝕刻製程加以移除,以在第一材料層1304上選擇性形成膜1310。在步驟1418中,將工件轉移至另一處理模組中以執行蝕刻製程。雖然膜1310亦可由蝕刻製程部分移除,但預期金屬氧化物核1312比膜1310蝕刻得更快。蝕刻製程可包含乾式蝕刻製程、濕式蝕刻製程、、或其組合。在一實例中,蝕刻製程可包含原子層蝕刻(ALE)製程。圖13D所示之所產生的工件具有膜1310選擇性形成在第一材料層1304上而膜核被移除。
蝕刻製程之後,在步驟1420中,可選地將工件轉移至量測模組/TMM中,其中工件受量測及特性化以判定製程的結果。特性化可判定蝕刻製程的程度。若主動阻絕為必要,例如進一步的蝕刻,其他在步驟1421中執行。
然後,在步驟1422中,可將SAM 1308從工件移除,例如藉由蝕刻或清潔製程模組或藉由熱處理。
如圖14示意性顯示,上述處理步驟可重複一或更多次,以增加工件上之膜1310的厚度。若SAM 1308在膜沉積及/或蝕刻製程期間變得受損且因此影響膜沉積選擇性,可能期望工件上SAM 1308的移除及後續重複沉積。
與傳統的製造程序中之計量或製程控制不同,工件不離開受控環境而進入獨立的量測/計量工具,藉此使氧化及缺陷產生的情形最小化,量測為非破壞性,使得沒有工件被犧牲用來獲取資料,藉此使生產輸出最大化,且資料可即時收集作為製程流程的一部分,以避免負面地影響生產時間,並實現製程中對工件或對依序在共用製造平台上受處理之後續工件的調整。此外,量測不在膜形成或蝕刻模組中執行,藉此避免量測裝置暴露於製程流體時的問題。舉例而言,藉由如所揭實施例其中一些者將工件量測區域結合至轉移模組,資料可在工件行進於處理工具之間時獲取,而幾乎無製程流程的延遲、不暴露至製程流體、且不離開受控環境(例如不破壞真空)。雖然「快速」資料可能不如從獨立計量工具中執行之傳統破壞性方法獲得的資料準確,但在製程流程上的近乎立即回饋及採取即時調整而不中斷製程流程或犧牲良率的能力對於大量製造而言係高度有益。
進一步參照圖14A的製程流程1430,該方法可包含檢驗工件,例如執行計量方法,亦即使用主動阻絕控制系統在整個整合式方法之過程中諸多時間點的任一者獲取量測資料,而不離開受控環境(例如不破壞真空)。工件的檢驗或量測可包含將工件的一或更多屬性特性化,並判定該屬性是否符合目標條件。舉例而言,檢驗可包含獲取關於屬性的量測資料,並判定缺陷度、厚度、均勻度、及/或選擇性條件是否符合該條件的目標。主動阻絕控制系統可包含如此處討論之共用製造平台上的一或更多量測/計量模組或工件量測區域。諸多量測/計量操作及後續主動阻絕步驟在例如圖14A中之假想線所指示之若干時間點可為可選的,但可有利地在製程流程中之一或更多點執行以確保工件在規格內。在一實施例中,量測資料係於共用製造平台上進行的整合式處理步驟序列之每一步驟之後獲取。量測資料可用以在工件離開共用製造平台之前,在一或更多主動阻絕/補救/修正模組中修復工件,且/或用以針對後續步驟及/或針對後續工件改變整合式處理步驟序列的參數。
廣泛而言,在受控環境內,量測資料可在關於附加性材料之選擇性沉積的整合式處理步驟序列期間獲取,且基於該量測資料,可判定附加性材料層的缺陷度、厚度、均勻度、及/或選擇性是否符合目標條件。當判定缺陷度、厚度、均勻度、及/或選擇性未符合目標條件,或以其他方式將工件的屬性判定為不合格,可使工件經歷進一步的主動阻絕處理。舉例而言,工件可在可視為修正/補救模組的共用製造平台上之一或更多模組中受處理,以在執行整合式處理步驟序列中的下一處理步驟之前移除、最小化、或補償不合格的屬性。舉例而言,修正性措施可包含蝕刻目標表面或非目標表面、在工件上沉積進一步的附加性材料、修復工件上的阻障層、熱處理工件、或電漿處理工件。取決於所偵測到的不合格性或缺陷,其他步驟亦可為主動阻絕的一部分。
在一實例中,在利用SAM之處理的情況下,當不合格性係至少部分基於不完整的藉由SAM之覆蓋率或不完整的藉由SAM之非目標表面阻隔時,或當非目標表面的暴露區域量大於預定暴露區域閾值時,或當SAM表面上的附加性材料量大於預定閾值時,修正性措施可包含移除SAM。在另一實例中,當不合格性係至少部分基於目標表面與非目標表面之間的階高(step-height)距離小於預定階高閾值、或非目標表面之暴露區域量小於預定暴露區域閾值時,修正性措施可包含移除附加性材料層的至少一部分。在又另一實例中,當不合格性係至少部分基於疊在目標表面上之附加性材料的厚度小於預定厚度閾值時,修正性措施可包含增加進一步的附加性材料至工件。在又進一步的實例中,當不合格性係至少部分基於非目標表面上之殘留附加性材料或非目標表面上之殘留自組裝單層多於預定殘留厚度閾值時,修正性措施可包含蝕刻工件。在另一實例中,當不合格工件屬性係至少部分基於從工件之反射性小於預定反射性閾值時,修正性措施可包含熱處理或電漿處理工件。
修正模組可為被指定為修正模組的共用製造平台上之不同膜形成及蝕刻模組或結合在共用製造平台上的另一類型之處理模組(例如熱退火模組),或可為用以選擇性沉積附加性材料並蝕刻膜核的相同膜形成及蝕刻模組。
現在將詳細敘述圖14A的製程流程1430,其具有用以將工件屬性特性化以判定區域選擇性沉積(ASD)之目標厚度何時達成、及/或判定是否存在不合格性的可選檢驗或計量操作。操作1432包含將具有目標及非目標表面的工件接收至共用製造平台中。操作1450包含可選地執行量測/計量以獲取關於進入之工件之屬性的量測資料,例如目標表面及/或非目標表面的屬性,其量測資料可用以調整及/或控制操作1434-1438之任一者的製程參數。
操作1434包含可選地預處理工件。預處理可為共用製造平台上執行的單一操作或複數操作。操作1452包含可選地執行計量以在預處理之後獲取關於工件之屬性的量測資料。若執行複數預處理操作,量測資料可在所有預處理完成及/或任何單獨預處理步驟之後獲取。在一實例中,工件在SAM形成之後受檢驗,以判定覆蓋率是否完整或受處理之表面的暴露區域是否超過閾值。量測資料可用以調整及/或控制操作1434-1438之任一者的製程參數;可用以在操作1432針對後續工件對進入的工件之屬性或對操作1434進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復工件。舉例而言,當非目標表面上藉由SAM的覆蓋率不完整,可在一或更多處理模組中採取修正性措施,例如移除SAM並重新施加SAM。
操作1436包含在設置於共用製造平台上之膜形成模組中選擇性沉積附加性材料於工件上。操作1454包含可選地執行計量以獲取關於具有附加性材料層形成於目標表面上之工件的屬性之量測資料,例如藉由選擇性沉積而受作用的附加性材料層、非目標表面、及/或受預處理表面之屬性,其量測資料可用以調整及/或控制操作1438-1442之任一者的製程參數、可用以在操作1432針對後續工件對進入的工件之屬性或對操作1434-1436進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復附加性材料層或非目標表面。舉例而言,當附加性材料的缺陷度、厚度、均勻度或選擇性不符合目標條件時,可在一或更多修正模組中採取修正性措施,例如藉由選擇性沉積額外的附加性材料至目標表面上、從非目標表面或目標表面移除附加性材料、從非目標表面移除預處理層、熱處理或電漿處理工件、或其二或更多者的組合。
操作1438包含利用設置於共用製造平台上之蝕刻模組蝕刻工件,以暴露非目標表面。操作1438可包含蝕刻沉積在非目標表面上或形成於非目標表面上之SAM上的膜核,或蝕刻沉積在非目標表面上或形成於非目標表面上之SAM上的整個附加性材料層,其厚度小於形成在目標表面上之附加性材料層厚度。操作1438亦可包含在同一蝕刻步驟或在後續蝕刻步驟中,從非目標表面移除SAM或其他預處理層。操作1456包含可選地執行量測/計量以獲取關於具有目標表面上之附加性材料層及受蝕刻非目標表面之工件的屬性之量測資料,例如受蝕刻影響的附加性材料層之屬性、由蝕刻暴露之非目標表面的屬性、及/或藉由從非目標表面上之SAM蝕刻膜核而受作用的SAM或其他預處理表面之屬性,其量測資料可用以調整及/或控制操作(包含依據操作1442之序列重複中的操作1434-1438)之任一者的製程參數、可用以在操作1432針對後續工件對進入的工件之屬性或對操作1434-1438進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復附加性材料層或非目標表面。舉例而言,當附加性材料的缺陷度、厚度、均勻度或選擇性不符合目標條件時,可在一或更多修正模組中採取修正性措施,例如藉由選擇性沉積額外的附加性材料至目標表面上、從非目標表面或目標表面移除附加性材料、從非目標表面移除預處理層、熱處理或電漿處理工件、或其二或更多者的組合。進一步而言,當量測資料指示附加性材料層的厚度小於目標厚度時,例如判定1440為「否」,可使工件經歷依據操作1442之序列地重複步驟。當量測資料指示附加性材料層的厚度已達到目標厚度時,例如判定1440為「是」,可使工件離開共用製造平台。
如以上所述的製程參數可包含處理模組內的任何操作變量,例如但不限於:氣體流率;蝕刻劑、沉積反應物、沖洗氣體等的成分;腔室壓力;溫度、電極間距;功率等。主動阻絕系統的智慧系統係配置成收集來自檢驗系統的量測資料,並例如藉由針對製程中的工件對後續處理模組中之處理參數進行原位調整,或藉由針對後續工件改變一或更多處理模組中的製程參數,來控制執行於共用製造平台上之整合式處理步驟序列。因此,所獲得的量測資料可用以識別整合式處理步驟序列期間對於工件所需要的修復以避免必須將工件廢棄,且/或用以針對獲取量測資料後執行於相同工件上之步驟、或針對處理後續工件而調整整合式處理步驟序列之處理參數,以減少後續工件不符合目標條件的發生。
雖然所示實例的一些者指示介電層上的金屬氧化物膜之ASD層,但本發明亦可應用於金屬上金屬(metal-on-metal,MoM)選擇性沉積或介電質上介電質(dielectric-on-dielectric,DoD)選擇性沉積。
在如於本發明之系統上完成之自對準多重圖案化製程的情形中,本發明亦可實施用於主動阻絕。在如此情形中,如此處所述,主動阻絕系統可包含共用製造平台上的一或更多量測/計量模組或工件量測區域。如圖14B所指出,可選用性地執行諸多量測或計量操作,但可有利地在製程流程中之一或更多點執行以確保工件在規格內,而減少缺陷度及EPE。在一實施例中,量測資料係於共用製造平台上執行之整合式處理步驟序列的每一步驟之後獲取。量測資料可用以啟動主動阻絕並在工件離開共用製造平台之前於補救或修正模組中修復工件,且/或可用以針對後續工件改變整合式處理步驟序列的參數。
舉例而言,對於多重圖案化製程,在受控環境內,可在有關側壁間隔件圖案之形成的整合式處理步驟序列期間獲取量測資料,且以該量測資料為基礎。舉例而言,共用平台中的TMM/量測模組或量測區域可提供關於側壁間隔件圖案之厚度、寬度、或輪廓的資料,且該資料可藉由阻絕控制系統加以分析,以判定所量測的側壁間隔件圖案之厚度、寬度、或輪廓是否符合目標條件。當判定側壁間隔件圖案之厚度、寬度、或輪廓不符合目標條件時,主動阻絕可能是必要的,且可使工件在共用製造平台上之處理模組中受處理,以改變側壁間隔件圖案。在一實施例中,當未符合側壁間隔件圖案之目標厚度、寬度、或輪廓時,可將側壁間隔件圖案修復。在一實例中,可將工件傳遞至膜形成模組,以供選擇性沉積額外材料至結構上。或者,可將處理模組用於保形地沉積額外材料至結構上。又進一步而言,主動阻絕可使用一或更多處理模組,以將結構重新塑形、蝕刻結構、植入摻雜物至結構中、移除及重新施加結構的材料層。並且,可針對如由控制系統指揮之適當主動阻絕,而將補救修正步驟的諸多者組合。
在一實施例中,當共用製造平台上之膜形成模組中施加的薄膜之保形性或均勻性不符合薄膜的目標保形性或目標均勻性時,可採取修正性或主動阻絕措施以修復薄膜。在一實例中,修復保形地施加之薄膜可藉由移除薄膜並重新施加薄膜而完成。由此,可將工件傳遞至一或更多蝕刻及/或清潔模組,且然後傳遞至膜形成模組以重新施加膜。在另一主動阻絕實例中,工件可至膜形成模組以供保形地施加額外薄膜、或至蝕刻模組以供蝕刻薄膜、或膜形成及蝕刻的一些組合。舉例而言,可將工件轉移至修正蝕刻模組以移除薄膜或部分移除薄膜,且/或可將工件轉移至修正膜形成模組以在薄膜被移除後重新施加薄膜、或在現存薄膜或部分蝕刻之薄膜上方施加額外的薄膜。
在一實施例中,當共用製造平台上之蝕刻模組中形成的側壁間隔件之後度、寬度、或輪廓不符合側壁間隔件的目標厚度、寬度、或輪廓時,可採取修正性措施以修復側壁間隔件。修復側壁間隔件可藉由選擇性沉積額外材料至側壁間隔件上、重新塑形側壁間隔件、植入摻雜物至側壁間隔件中、或其二或更多者的組合而完成。舉例而言,可將工件轉移至修正膜形成模組以選擇性沉積間隔件材料,或轉移至一或更多修正膜形成模組及/或蝕刻模組以執行側壁間隔件重新塑形製程。
修正模組可為被指定為修正/補救模組的共用製造平台上之不同膜形成及蝕刻模組或結合在共用製造平台上的另一類型之處理模組(例如熱退火模組)。或者,用於主動阻絕中的模組可為用以保形地施加薄膜、蝕刻薄膜、及移除心軸圖案的相同膜形成及蝕刻模組。
現在將詳細說明圖14B的製程流程1460,其具有可選的計量操作。操作1462包含將具有第一心軸圖案的工件接收至共用製造平台中。操作1480包含可選地執行量測/計量以獲取關於進入之工件之屬性的量測資料,例如第一心軸圖案及/或心軸圖案形成於其上方且最終圖案將轉移至其中的下方層之屬性。量測資料可用以調整及/或控制操作1464-1478之任一者的製程參數。
操作1464包含使用設置在共用製造平台上之膜形成模組保形地施加第一薄膜在第一心軸圖案上方。操作1482包含可選地執行量測/計量以獲取關於具有已施加之保形第一薄膜的工件之屬性的量測資料,例如第一薄膜、藉由薄膜沉積而受作用之第一心軸圖案、及/或藉由薄膜沉積而受作用之下方層(最終圖案將轉移至該下方層中)的屬性,其量測資料可用以調整及/或控制操作1464-1468之任一者的製程參數、可用以在操作1462針對後續工件對進入的工件之屬性或對操作1464進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至處理模組以修復保形地施加之第一薄膜。舉例而言,當第一薄膜的保形性或均勻性不符合第一薄膜的目標保形性或目標均勻性時,可在一或更多處理模組中採取修正性措施,例如移除薄膜並重新施加薄膜、保形地施加額外的薄膜、蝕刻薄膜、或其二或更多者的組合。
操作1466包含使用設置在共用製造平台上之蝕刻模組從第一心軸圖案的上表面及鄰近第一心軸圖案的下表面(例如從下方層)移除第一薄膜,以形成第一側壁間隔件(稱為間隔件蝕刻)。操作1484包含可選地執行量測/計量以獲取關於具有受蝕刻第一薄膜(其形成第一心軸圖案之側壁上的第一間隔件)之工件之屬性的量測資料,例如第一側壁間隔件、藉由間隔件蝕刻而受作用之第一心軸圖案、及/或藉由間隔件蝕刻而受作用之下方層的屬性,其量測資料可用以調整及/或控制操作1468-1478之任一者的製程參數、可用以在操作1462針對後續工件對進入的工件之屬性或對操作1464-1466進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復心軸圖案之側壁上的第一側壁間隔件。舉例而言,當側壁間隔件的厚度、寬度、或輪廓不符合側壁間隔件的目標厚度、寬度、或輪廓時,可在一或更多處理模組中採取修正性措施,例如藉由選擇性沉積額外材料至側壁間隔件上、重新塑形側壁間隔件、植入摻雜物至側壁間隔件中、或其二或更多者的組合。
操作1468包含使用設置在共用製造平台上之蝕刻模組移除第一心軸圖案(稱為心軸拉除),以留下第一側壁間隔件。操作1486包含可選地執行量測/計量以獲取關於具有第一側壁間隔件之工件之屬性的量測資料,例如藉由心軸拉除而受作用之第一側壁間隔件、及/或藉由心軸拉除而受作用之下方層的屬性,其量測資料可用以調整及/或控制操作1470-1478之任一者的製程參數、可用以在操作1462針對後續工件對進入的工件之屬性或對操作1464-1468進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復第一側壁間隔件。舉例而言,當側壁間隔件的厚度、寬度、或輪廓不符合側壁間隔件的目標厚度、寬度、或輪廓時,可在一或更多處理模組中採取修正性措施,例如藉由選擇性沉積額外材料至側壁間隔件上、重新塑形側壁間隔件、植入摻雜物至側壁間隔件中、或其二或更多者的組合。
在自對準雙重圖案化實施例中,在無操作1486的情況下或在操作1486之後,製程流程1460可經過操作1470前進至操作1478。操作1472包含使用設置在共用製造平台上之膜形成模組,在作為第二心軸圖案的第一側壁間隔件上方保形地施加第二薄膜。操作1488包含可選地執行量測/計量以獲取關於具有所施加保形第二薄膜之工件之屬性的量測資料,例如第二薄膜、藉由薄膜沉積而受作用之第二心軸圖案、及/或藉由薄膜沉積而受作用之下方層的屬性,其量測資料可用以調整及/或控制操作1474-1478之任一者的製程參數、可用以在操作1462針對後續工件對進入的工件之屬性或對操作1464-1468進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復保形地施加之第二薄膜。舉例而言,當第二薄膜的保形性或均勻性不符合第二薄膜的目標保形性或目標均勻性時,可在一或更多處理模組中採取修正性措施,例如移除薄膜並重新施加薄膜、保形地施加額外薄膜、蝕刻薄膜、或其二或更多者的組合。
操作1474包含使用設置在共用製造平台上之蝕刻模組從第二心軸圖案的上表面及鄰近第二心軸圖案的下表面(例如從下方層)移除第二薄膜,以形成第二側壁間隔件(稱為間隔件蝕刻)。操作1490包含可選地執行量測/計量以獲取關於具有受蝕刻第二薄膜(其形成第二心軸圖案之側壁上的第二間隔件)之工件之屬性的量測資料,例如第二側壁間隔件、藉由間隔件蝕刻而受作用之第二心軸圖案、及/或藉由間隔件蝕刻而受作用之下方層的屬性,其量測資料可用以調整及/或控制操作1476-1478之任一者的製程參數、可用以在操作1462針對後續工件對進入的工件之屬性或對操作1464-1474進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至處理模組以修復第二心軸圖案之側壁上的第二側壁間隔件。舉例而言,當側壁間隔件的厚度、寬度、或輪廓不符合側壁間隔件的目標厚度、寬度、或輪廓時,可在一或更多處理模組中採取修正性措施,例如藉由選擇性沉積額外材料至側壁間隔件上、重新塑形側壁間隔件、植入摻雜物至側壁間隔件中、或其二或更多者的組合。
操作1476包含使用設置在共用製造平台上之蝕刻模組移除第二心軸圖案(稱為心軸拉除),以留下第二側壁間隔件。操作1492包含可選地執行量測/計量以獲取關於具有第二側壁間隔件之工件之屬性的量測資料,例如藉由心軸拉除而受作用之第二側壁間隔件、及/或藉由心軸拉除而受作用之下方層的屬性,其量測資料可用以調整及/或控制操作1478的製程參數、可用以在操作1462針對後續工件對進入的工件之屬性或對操作1464-1476進行調整;或可用以在繼續處理前修復工件。在一實施例中,當量測資料指示一或更多屬性並未符合目標條件時,可將工件轉移至修正模組以修復第二側壁間隔件。舉例而言,當側壁間隔件的厚度、寬度、或輪廓不符合側壁間隔件的目標厚度、寬度、或輪廓時,可在一或更多處理模組中採取修正性措施,例如藉由選擇性沉積額外材料至側壁間隔件上、重新塑形側壁間隔件、植入摻雜物至側壁間隔件中、或其二或更多者的組合。
如以上所述的製程參數可包含處理模組內的任何操作變量,例如但不限於:氣體流率;蝕刻劑、沉積反應物、沖洗氣體等的成分;腔室壓力;溫度、電極間距;功率等。主動阻絕系統的智慧系統係配置成收集來自檢驗系統的量測資料,並例如藉由針對製程中的工件對後續處理模組中之處理參數進行原位調整,或藉由針對後續工件改變一或更多處理模組中的製程參數,來控制執行於共用製造平台上之整合式處理步驟序列。因此,所獲得的量測資料可用以識別整合式處理步驟序列期間對於工件所需要的主動阻絕步驟或修復以避免必須將工件廢棄,且/或用以針對獲取量測資料後執行於相同工件上之步驟、或針對處理後續工件而調整整合式處理步驟序列之處理參數,以減少後續工件不符合目標條件的發生。
主動阻絕亦可實施於接點形成製程中。工件上的接點形成可在共用製造平台上實施。在一實施例中,接點可利用圖案化遮罩層形成,以選擇性地使電晶體接點區域暴露至複數製程(例如清潔、金屬沉積、退火、金屬蝕刻)。在另一實施例中,接點可利用選擇性沉積及蝕刻製程形成,以施加金屬及從電晶體接點區域移除金屬,而不使用圖案化遮罩層。
在圖案化遮罩層實施例中,共用製造平台可接收具有形成並經由圖案化遮罩層暴露之一或更多接點特徵部的工件。接點特徵部具有在接點特徵部之底部露出的半導體接觸表面,該半導體接觸表面含有矽、或鍺、或其合金。共用製造平台可在一或更多蝕刻模組其中一者中開始處理半導體接觸表面,以從半導體接觸表面移除汙染物。在一實施例中,可於處理前在進入的晶圓上進行X射線光發射光譜法量測,以偵測接點特徵部內的汙染程度。或者可進行橢圓偏振法(例如厚度量測)以判定或近似估計半導體接觸表面上的氧化物量。如此一來,共用製造平台可使處理製程最佳化,以在蝕刻模組中移除材料。
在處理之後,可再進行汙染物及厚度量測,以確認汙染物或氧化物層已被充分移除。若否,共用製造平台及其主動阻絕控制系統可藉由透過蝕刻模組額外處理工件一或更多次而採取補救措施。此量測及處理製程可重複直到汙染物或氧化物低於預定閾值程度。在一些情形中,可在TMM/量測模組中使用高解析度光學量測系統,例如高解析度光學成像及顯微術、高光譜(多光譜)成像、干涉術、光譜術、傅立葉轉換紅外光譜術(FTIR)反射法、散射測量、光譜橢圓偏振法、旋光測定法、折射儀、或非光學成像系統(例如SEM、TEM、AFM),以量測接點特徵部的尺寸。
接著,共用製造平台將工件移動至金屬沉積模組,以在接點特徵部內之半導體接觸表面上沉積金屬層。TMM或量測模組的量測系統可利用結合至共用製造平台中之一或更多量測/計量系統(例如光學或非光學技術)量測所沉積之層的膜性質(例如厚度、電阻、均勻性、保形性)。基於量測及/或製程效能資料,主動阻絕控制系統可實施補救措施於工件上,以增加或減少金屬層厚度,且將視情況將工件移動至膜形成模組或蝕刻模組,以達到基於量測值的期望結果。或者,控制系統可視情況將工件移動,以移除金屬層及重新施加第二金屬來取代第一金屬層。在此情形中,金屬層係與例如一或更多電晶體部件的介電材料呈物理性接觸。
雖然金屬層係物理性接觸電晶體的介電材料,但接點並未完全形成,因為在金屬與介電材料間之陡然轉變的情況下,金屬與介電材料之間的介面電阻過高。降低電阻的一方法為退火或加熱工件,以形成金屬-介電質合金,其中該合金的電阻低於該介電材料且高於該金屬。在熱處理之後,主動阻絕控制系統可將工件移動以利用膜電阻計量系統量測電阻,來確認合金的形成係於預定限度之內。在此情形中,主動阻絕控制系統亦可判定需要額外熱處理,以完整形成合金材料,而達到期望的電阻,且共用製造平台中的工件轉移機構針對如此步驟相應地運作。
熱處理之後,可將工件移動至蝕刻模組以在接點特徵部內移除金屬層之非合金部分,而露出合金。再次,主動阻絕控制系統可將工件設置至TMM或量測模組或一些其他量測系統以量測電阻,來判定金屬層的非合金部分是否已充分移除。蝕刻製程可由主動阻絕控制系統重複,直到前述條件達成。然而,在一些實施例中,可使金屬層因合金處理而完全消耗。在此情況中,可不需要金屬蝕刻製程。
在一些實施例中,圖案化遮罩層製程可包含在一或更多膜形成模組其中一者中將傳導性覆蓋層施加於所沉積之金屬層或合金層上,以覆蓋金屬層或合金層,來預防金屬氧化物或其他汙染物。
在其他實施例中,共用製造平台可受配置及控制而在接點上方形成貫孔結構(例如W、Co、Ru)以將接點連接至稍後形成於電晶體上方之金屬線,該金屬線提供電訊號至電晶體部件。
在另一實施例中,接點的形成可利用區域選擇性沉積(ASD)技術而實施,ASD技術依賴工件上的暴露材料及所沉積之膜的化學性質,以選擇性彼此交互作用,使得所沉積的膜僅生長在特定暴露材料上或以高得多的速率生長。因此,圖案化遮罩層可從進入的工件省略。然而,ASD實施例仍使用許多與圖案化遮罩層實施例相同的步驟,而有兩個主要差異:自組裝單層的施加及移除,其中SAM係於金屬沉積之前施加,且在金屬沉積之後移除。SAM層取代圖案化遮罩層並實現全覆蓋式金屬沉積,以選擇性沉積在接點特徵部上。舉例而言,在遮罩實施例中,金屬層沉積在接點特徵部及遮罩層上,以在工件上形成全覆蓋式金屬層。反之,在ASD實施例中,金屬係選擇性沉積在未由SAM層覆蓋的接點特徵部上,且不在SAM上形成具有與接點特徵部上相同之金屬層厚度的金屬層。
在ASD實施例中,共用製造平台及主動阻絕控制系統將使用諸多量測/計量系統來確認充分覆蓋工件上之非接點特徵部且/或暴露工件上之接點特徵部的SAM覆蓋率及/或密度。類似地,主動阻絕控制系統及共用製造平台可使用量測/計量系統來判定SAM材料充分地從工件移除。計量系統可包含高解析度光學(例如高解析度光學成像及顯微術)、高光譜(多光譜)成像、干涉術、光譜術、傅立葉轉換紅外光譜術(FTIR)反射法、散射測量、光譜橢圓偏振法、旋光測定法、或折射儀。
自主性學習引擎
現在參照圖式說明主題創新,其中類似的參考編號係用以指示各處類似的元件。在以下敘述內容中,為了說明之目的,而提出許多具體細節以提供對本發明的透徹理解。然而將顯而易見地,本發明可在不具有這些具體細節的情況下實施。在其他情形中,為人熟知地結構及裝置係以方塊圖的形式顯示,以幫助敘述本發明。
當用於標的說明書中時,用語「物件」、「模組」、「介面」、「部件」、「系統」、「平台」、「引擎」、「單元」、「儲存部」等等係意圖指示電腦相關實體、或關於具有特定功能性之操作機器的實體,該實體可為硬體、硬體及軟體之組合、軟體、或執行過程中之軟體。舉例而言,部件可為但不限於:在處理器上運行的製程、處理器、物件、執行檔、執行緒、程式、及/或電腦。舉例而言,伺服器上運行之應用程式及該伺服器兩者可為一部件。一或更多部件可存在於製程及/或執行緒中,且部件可侷限在一電腦上及/或分布於二或更多電腦之間。並且,這些部件可從其上儲存有諸多資料結構的諸多電腦可讀媒體執行。部件可例如依據具有一或更多資料封包(例如來自與本地系統、分散系統中另一部件交互作用、及/或在例如網際網路之網路範圍經由訊號 與其他系統交互作用之一部件的資料)之訊號經由本地及/或遠端製程而通訊。
再者,用語「或」欲意指包括性的「或」而非排除性的「或」。亦即,除非在其他方面指明,或由上下文清楚得知,否則「X採用A或B」欲意指任何自然包括性置換例。亦即,在前述情形的任何者之下,若X採用A;X採用B;或X採用A及B兩者,則滿足「X採用A或B」。此外,用於此說明書及隨附請求項中的冠詞「一」整體上應解讀為意指「一或更多」,除非在其他方面指明或由上下文清楚得知其關於單數形式。
參照圖式,圖17顯示例示自主性生物基礎學習系統1700,其可由主動阻絕控制系統實施。調適性推論引擎1710係耦接至目標部件1720。有線或無線通訊連結1715耦接如此部件。針對由目標部件1720建立或追求的特定目標,調適性推論引擎1710接收例如於此處擷取可用以完成目標之量測資料、製程參數資料、平台效能資料的輸入1730,並輸送可代表或記錄所追求或完成之目標之態樣的輸出1740。此外,調適性推論引擎1710可從資料儲存部1750經由連結1755接收資料,且可將資料或資訊儲存在如此資料儲存部,例如,所儲存的資訊可為透過有線或無線連結1765輸送之輸出1740的一部分。應察知(i)輸入1730、輸出1740、及資料儲存部1750中之資料(以及輸入、輸出、及資料儲存部中之資料的歷史)包含用於調適性推論引擎1710之操作的脈絡,及(ii)經由連結1715、1755、及1765進入引擎之該脈絡的回饋促進基於脈絡的調適作用。尤其,目標部件1720可利用回饋脈絡修改特定初始目標,並因此建立及追求經修改的目標。
輸入1730可視為外來的資料或資訊,其可包含來自共用製造平台的量測模組資料、檢驗系統資料、處理模組參數資料、平台效能資料等,以及製程序列資料。此資料可包含量測的指令、記錄、結果等。輸出1740在本質上可實質上與輸入1730相同,且其可視為內在的資料。輸入及輸出可分別由可存在於調適性推論引擎1710中之對於製造平台之輸入及輸出介面及連接部(例如USB埠、IR無線輸入)接收及輸送。如以上所指出,輸入1730及輸出1740可為調適性推論引擎1710之脈絡的一部分。此外,調適性推論引擎1710可要求輸入1730作為追求目標的結果。
自主性生物基礎系統1700中的部件可遞迴地加以定義,其在基本初等部件的情況下可賦予自主性系統1700實質程度的能力學習(competent learning)複雜性。
各連結1715、1755、或1765可包含通訊介面,其可促進待傳輸或接收之資料或資訊的操控;可利用資料庫而用於資料儲存及資料資料探勘;且可接收及傳送資訊往來作用者。連結1715、1755、或1765的有線實施例可包含雙絞線、T1/E1電話線、AC線、光纖線、及對應電路,而無線實施例可包含超行動寬頻連結、長期演進連結、或IEEE 802.11連結、及相關聯之電子裝置。關於資料儲存部1750,雖然其顯示為單一元件,但其可為分佈的資料倉儲,其中資料記憶體組配置在不同的實體或邏輯位置。
在例示之系統1700中,調適性推論引擎1710及目標部件1720係顯示為分離的部件,然而,吾人應察知,如此部件之其中一者可存在於另一者內。
目標部件1720可屬於一或更多規範(discipline)(例如科學規範,如半導體製造),或屬於一或更多關於半導體製造的企業部門(例如,市場部、工業部、研發部等)。此外,由於目標典型可為多規範且可專注於多個市場,所以目標部件能夠在一或更多特定規範或部門內建立多個不同目標。為了追求目標,目標部件可包含功能性部件和監測部件。用以達成目標的特定操作係透過(複數)功能性部件發生作用,而相關於目標之完成之變量狀況由監測部件判定。此外,功能性部件可決定目標空間(space of goal),該目標空間可藉由目標部件1720完成。目標空間包括可以特定功能性達成之實質上所有的目標。吾人應察知,對於由功能性部件所供給之如此特定功能性而言,特定目標之脈絡性調適能夠調適目標空間內之第一目標至第二目標。目標空間內之初始目標可由一或更多作用者決定;其中作用者可為機器或人類動作者(例如終端用戶)。應注意,當調適性推論引擎1710可透過目標漂移驅使目標部件1720朝向複雜的詳細目標時,初始目標可為一般性高階目標。接著說明目標、目標部件和目標調適。
圖18為描述脈絡目標調適之圖解1800。目標(例如,目標18101 、或目標18103 )典型地可為關聯於目標部件(例如,組件1720)之功能性的抽象概念。目標可為高階抽象概念:「儲蓄以便退休」、「確保利潤」、「娛樂」、「學習烹飪」、「旅行至一場所」、「發展資料庫」、「製造產品」等。此外,目標可為更具體的細分,譬如「以$60,000-$80,000之範圍內的年收入儲蓄以便早日退休」、「在低費用季節從美國旅行至日本,旅行費用包含住宿不超過$5000」、或者「到達工作面談地點對預期的雇主合夥群提出35分鐘的簡報」。再者,目標(例如,18101 )具有關聯之脈絡(例如,18202 )。如以上所指出,耦接至調適性推論引擎1710之目標部件1720通常與所建立之目標(例如,目標18101 、或目標18103 )相容。舉例而言,目標「製造產品」(例如,目標18101 )可依賴例如分子束磊晶反應器之製造工具系統(例示目標部件1720),該分子束磊晶反應器採用標準或定製的規格製造該產品。於完成如此目標(例如,目標18101 )期間,輸出1740可包含該製造產品。此外,調適性推論部件(例如,部件1710)可基於類似可藉由在該目標部件中監測部件所收集之工具系統規格或資料產生者的脈絡(例如,脈絡18201 )來調適(例如,調適18301 )「製造產品」目標(例如,目標18101 )。尤其,可調適初始高階目標(例如,目標18101 )以「製造半導體元件」(例如,目標18102 )。如以上指出,為了達成目標,目標部件1720可由多個功能性部件組成。此外,目標部件1720可為模組化,其中當目標受到調適時,可加入目標次部件。舉例而言,追求「製造產品」目標之目標部件可包括耦接至大規模平行智慧計算平台的多市場評估及預測部件,該大規模平行智慧計算平台可分析諸多市場之市場狀況以調適(例如,18301 )目標至「製造使用分子電子部件之多核心處理器」(例如,目標1810N )。應注意,如此調適可涉及一些中間調適18301 至1830N-1 、以及中間調適之目標18102 至1810N-1 ,其中中間調適係基於產生自先前追求之目標的中間脈絡18202 至1820N
在目標、目標部件及目標調適的另一例示中,目標可為欲「於商店B購買電影A的DVD」,目標部件1720可為具有導航系統之交通工具,該導航系統包括調適性推論引擎1710。(應注意於此例示中,調適性推論引擎1710係設置在目標部件1720中)。作用者(例如交通工具操作者)可進入或者選擇商店B之位置,且目標部件可產生用以完成目標之指示。在調適性推論引擎1710於作用者正前往商店時接收到商店B已停止進貨電影A(例如,RFID讀取器已更新存貨資料庫、且更新訊息已廣播至部件1710)之輸入1730的情形中,調適性推論引擎1710可(i)請求額外的輸入1730以識別具有電影A庫存的商店C;(ii)估計作用者可取得之用以到達商店C的資源;以及(iii)評估作用者對於完成目標之感興趣程度。基於如顯示於(i)至(iii)透過輸入1730發展之經修改的脈絡,目標部件可接收指示以調適該目標「於商店C購買電影A之DVD」。
吾人應該察知,調適性推論引擎1710可建立關聯於由目標部件1720所決定之目標的次目標。次目標可藉由使調適性推論引擎得以完成互補之任務或學習關聯於該目標之概念而輔助完成該目標。
綜合言之,基於自主性生物基礎系統1700為具有脈絡性目標調適之目標驅動系統。吾人應察知,基於接收到之脈絡的目標調適引入額外之調適層級至輸入資訊的分析,以產生可作用之資訊輸出1740。(a)調適資訊或資料分析之處理和(b)基於脈絡調適初始目標的能力使得系統呈大規模地調適性或自主性。
圖19顯示例示自主性生物基礎學習工具1900的高階方塊圖。於實施例1900中,自主性學習系統包含工具系統1910,其包括:功能性部件1915,該功能性部件1915提供工具系統其特定的功能性,且可包括單一功能性工具部件或者一組實質相同或者不同的功能性工具部件;以及感測器部件1925,其可探測關於由工具執行之製程(像是半導體晶圓的熱處理)的若干可觀察之大小,並且產生關聯於該製程的一或更多資源1928。可將所收集的包含例如生產製程資料或測試運行資料之資料資源的資源1928傳送至互動部件1930,該互動部件1930包含可作為接收資源1928之介面的配接器部件1935、可處理所接收之資源1928的互動管理器1945、以及可儲存所接收和所處理資料的(複數)資料庫1955。互動部件1930促進工具系統1910與自主性生物基礎學習系統1960之互動。關聯於由製造平台工具系統1910執行之製程中產生之資料的資訊可被接收並遞增地供應至自主性學習系統1960。舉例而言,關聯於工件的量測資料、以及關聯於平台之製程模組的處理參數資料被導向互動部件1930。
自主性生物基礎學習引擎1960包含儲存接收之資訊1958(例如,資料、變量和關聯之關係、因果圖、模板等等)的記憶體平台1965,該資訊1958可經由知識網路1975通訊至處理平台1985,該處理平台1985可操作於所接收之資訊,並且可將處理之資訊透過知識網路1975通訊回至記憶體平台1965。自主性生物基礎學習系統1960之組成部件可大致類似腦之生物態樣,其中記憶體係與處理部件以網路連接以操控資訊並且產生知識。此外,知識網路1975可從互動部件1930接收資訊,並且將資訊傳送至互動部件1930,該互動部件1930可經由互動管理器1945將資訊通訊至工具系統1910、或作用者1990。當藉由自主性學習系統1960接收、儲存、處理、和傳送資訊1958時,諸多改善於工具系統1910和依靠其之作用者中產生效果。亦即,改善包含(a)自主性學習系統1960和工具系統1910隨著時間進展變成愈來愈獨立,並且需要較少的作用者介入(例如人類指引和監督);(b)自主性系統改善其對作用者之輸出的品質(例如較佳的故障之根源識別、或者系統故障發生之前的系統故障預測);以及(c)自主性學習系統1960隨著時間的經過而改善其效能-自主性學習系統1960於更快的速率和以更少之資源消耗遞送改善之結果。
記憶體平台1965包括功能性記憶體部件的階層,其可配置成儲存工具系統1910(例如,先驗知識)之初始化或配置期間所接收之知識(例如,資訊1958)。先驗知識可透過互動部件1930傳送作為資訊輸入1958。此外,記憶體平台1965可儲存(a)工具系統1910之初始化/配置之後用以訓練自主性學習系統1960之訓練資料(例如,資訊輸入1958);以及(b)由自主性學習系統1960所產生之知識;該知識可透過互動部件1930經由互動管理器1945傳輸至工具系統1910或者作用者1990。
由作用者1990(例如,人類作用者)所供應之資訊輸入1958(例如,資料)可包含識別關聯於製程之變量、二或更多變量間之關係、因果圖(例如相依圖)、或事件資訊的資料。如此資訊可促進在學習過程中導引自主性生物基礎系統1960。此外,在一態樣中,如此資訊輸入1958可由作用者1990視為重要,且重要性可關於資訊對由工具系統1910所執行之特定製程之相關性。舉例而言,氧化物蝕刻系統之操作者(例如,作用者1990為人類動作者)可判定蝕刻速率對於製造程序之結果具有關鍵性;因此,蝕刻速率可為通訊至自主性學習系統1960之屬性。在另一態樣中,由作用者1990所供應之資訊輸入1958可為提示(hint),藉此作出學習製程變量間之特定關係的指示。舉例而言,提示可傳送建議以學習特定沉積步驟內、工具系統1910中沉積腔室中之作為腔室容積、排放壓力及進入氣體流之函數的壓力行為。舉另一例而言,提示可指示學習腔室壓力之詳細的時間關係。如此實例提示可啟動可學習壓力在複數製程變量上之函數相依性的自主性學習系統中之一或更多功能性處理單元。而且,如此提示可啟動能應用和比較已學習功能性相對作用者1990可取用之模型或經驗上功能性的一或更多功能性單元。
工具系統1910(例如半導體製造工具)可能是複雜的,且因此不同的作用者能夠透過不同類型之特定的、完整或不完整的知識而專精於操控和操作工具系統。舉例而言,人類動作者(例如,工具工程師)可得知不同的氣體具有不同的分子量,且因此可產生不同的壓力,然而製程/工具工程師可得知如何將源自第一氣體之壓力讀數轉換至源自第二氣體之相等壓力;如此知識之基本實例可為將壓力讀數從一單位(例如帕(Pa))轉換至另一單位(例如1b/in2 或PSI)。存在於自主性生物基礎學習系統中的額外類型之一般型更複雜之知識可為工具系統之性質(例如,腔室容積)與執行於該工具系統中之量測(例如,腔室中測得之壓力)之間的函數關係。舉例而言,蝕刻工程師得知蝕刻速率相依於蝕刻腔室中之溫度。考慮到知識的多樣性和如此知識可能不完整的事實,作用者(例如像是終端用戶之人類動作者)可透過多個程度之傳輸知識引導自主性學習系統1960:(i)無指定知識,作用者不對自主性學習系統遞送引導;(ii)基本知識,作用者可傳送工具系統之性質與工具系統中測量之間的有效關係;例如作用者傳送蝕刻速率(κE )與製程溫度(T)之間之關係(例如,關係(κE ,T))而無進一步細節;(iii)具有經識別輸出之基本知識,進一步對於工具系統性質與工具系統量測之間的關係,作用者可針對關係(例如,關係(輸出(κE ),T)中之相依變量提供特定的輸出;(iv)關於關係之部分知識,作用者得知工具系統性質與量測之間的數學方程式之結構、以及相關的相依和獨立變量(例如,κE =k1 e-k2/T 而沒有k1 或k2 的具體值),然而作用者可能無法得知關係之一或更多關聯常數的精確值;(v)完整的知識,作用者擁有函數關係之完整數學敘述,應注意當自主性學習系統1960自主性地發展並嘗試學習工具函數關係時,如此引導可隨時間而漸增地加以提供。
知識網路1975為知識匯流排,其依照所建立之優先順序通訊資訊(例如資料)或者傳輸功率。優先順序可藉由一對資訊來源和資訊目的地部件或平台而建立。此外,優先順序可基於正被傳輸之資訊(例如,此資訊必須即時發送)。應注意,優先順序可為動態的而非靜態的,且作為自主性學習系統1960中學習發展之函數而改變,並且鑑於自主性生物基礎學習工具1900中存在的一或更多部件中之一或更多需求,例如問題情況可受到辨識、且可使通訊受到保證及產生作用作為因應。經由知識網路1975,通訊和功率傳輸透過有線連結(例如,雙絞線連結、T1/E1電話線、AC線、光纖線)或無線連結(例如,超行動寬頻(UMB)、長期演進(LTE)、IEEE 802.11)而產生作用,且可發生於功能性平台(例如記憶體平台1965和處理平台1985)內之部件(未顯示)之間、或發生於不同平台中之部件(例如,與另一自我察知次部件通訊之自我察知記憶體平台中的部件)之間,或者通訊可在部件之間(例如,察知部件與概念化部件通訊)。
處理平台1985包含運作於資訊的功能處理單元:接收或檢索特定類型之輸入資訊(例如,像是數目、序列、時間序列、函數、等級、因果圖等之特定資料類型),且藉由處理單元執行計算以產生特定類型之輸出資訊。輸出資訊可經由知識網路1975輸送至記憶體平台1965中之一或更多部件。在一態樣中,功能性處理單元可讀取和修改儲存於記憶體平台1965中之資料結構或資料類型實例,且可在其中置入新的資料結構。在另一態樣中,功能性處理單元可對像是適合性、重要性、啟動/抑制能量、及通訊優先順序的諸多數值屬性提供調整。各功能性處理單元具有動態優先順序,該動態優先順序決定用於在資訊上操作之階層;較高優先順序單元比較低優先順序單元者更早在資料上運作。在已操作於特定資訊上之功能性處理單元未能產生新的知識(例如,學習)之情形中,像是產生區別關聯於工具系統1910之操作的不良運作與良好運作之次序數或者次序函數,可降低關聯於功能性處理單元之優先順序。反之,若產生新的知識,則提高處理單元之優先順序。
吾人應察知,透過被賦予優先順序之功能性處理單元,處理平台1985仿真人類意向,以嘗試特定情況(例如特定資料類型)中的第一操作,若該操作產生新的知識,則將該操作用於後續的實質相同情況。反之,當第一操作未能產生新的知識,便減少將第一操作用於處理該情況之意向,並使用第二操作(例如,擴散啟動)。如果第二操作未能產生新的知識,便降低其優先順序,並且使用第三操作。處理平台1985繼續使用操作直到產生新的知識為止,且其他操作取得較高的優先順序。
在一態樣中,作用者1990可提供製程配方參數、指令(例如,用於離子植入晶圓之退火循環的溫度曲線、半導體之氣相沉積中的閘門之開/關序列、離子植入製程中離子束之能量、或濺射沉積中之電場大小)、以及用於自主性學習系統1960之初始化參數。在另一態樣中,作用者1990可供應關聯於工具系統1910之維護的資料。在又另一態樣中,作用者1990可產生並提供由工具系統1910所執行之製程的電腦模擬之結果。在如此模擬中產生的結果可用作訓練資料以訓練自主性生物基礎學習系統。此外,模擬或終端用戶可遞送關聯於製程之最佳化資料至工具系統1910。
自主性學習系統1960可透過一或更多訓練循環加以訓練,各訓練循環可用以發展自主性生物基礎學習工具1900,俾以(i)能夠在無外部介入的情況下執行更大量之功能;(ii)在診斷製造系統健全根本原因之根本原因時提供更佳的反應,例如改善之準確度、或正確性;以及(iii)增加效能,例如更快的反應時間、減少記憶體消耗、或改善之產品品質。在訓練資料係收集自關聯於工具系統1910中之製程校準或者標準運行之資料1928的情形中,訓練資料可經由配接器部件1935供應至自主性學習系統(如此資料可視為內部的),或者透過互動管理器1945。當從(複數)資料庫1955檢索訓練資料時(例如,關於透過外部探針進行之外部量測的資料、或工具系統1910中修復介入的記錄),如此訓練資料可視為外部的。當訓練資料由作用者供應時,資料經由互動管理器1945傳輸並且可視為外部的。基於內部或外部訓練資料的訓練循環促進自主性學習系統1960學習工具系統1910的預期內行為。
如以上所指出,功能性部件1915可包含關聯於此處所述製造平台之工具專屬半導體製造能力的多功能工具部件(未顯示),且該能力使得該工具能用以(a)製造半導體基板(例如晶圓、平板顯示器、液晶顯示器(LCD)、OLED等);(b)進行磊晶氣相沉積或非磊晶氣相沉積;(c)輔助離子植入或者氣體叢集離子注入;(d)執行電漿或非電漿(乾式或濕式)氧化物蝕刻處理;(e)實施微影術製程(例如光微影術、電子束微影術等)…等等。工具系統1910亦能夠體現於爐;用於操作在受控電化學環境中之曝光工具;平坦化裝置;電鍍系統;針對光學、電學、和熱性質的量測模組或檢驗系統裝置,其可包含有效期限(整個操作循環)量測、諸多量測及計量模組、晶圓清潔機器等。
在由工具系統1910執行的製程中,取決於所收集資料的意圖用途,檢驗系統的包含感測器部件1925之感測器和探頭可透過諸多換能器和具有不同複雜程度之技術,收集關聯於所述工件之屬性、及處理模組之不同物理性質(例如壓力、溫度、濕度、質量密度、沉積速率、層厚度、表面粗糙度、結晶方向、摻雜濃度等)以及處理模組和製造平台之機械性質(閥口徑或閥角度、閘門開/關操作、氣體通量、基板角速度、基板定向等)上的資料(例如,資料資源)。如此技術可包含但不限於此處所述的諸多量測及計量技術,以獲取所關注的資料而用於偵測不合格性及缺陷並提供主動阻絕。吾人應察知,感測器及量測模組檢驗系統提供來自工具系統的資料。吾人應察知,如此資料資源1928有效地將來自工具系統1910之製造平台所製造或製作的工件之資料特性化。
在一態樣中,感測器部件1925或檢驗系統中之資料源可耦接至配接器部件1935,該配接器部件1935可配置成以類比或數位形式收集資料資源1928。配接器部件1935可促進製程運作中收集之資訊1958在資料被置入記憶體平台1965之前,依據自主性生物基礎學習系統1960中之資料的意圖用途而組成或分解。配接器部件1935中之配接器可關聯於感測器部件1925/檢驗系統中之一或更多感測器,且可讀取來自一或更多感測器的資料。外部資料源配接器(未顯示)可具有提取資料以及通過從工具外部推出之資料之能力。舉例而言,MES/歷史資料庫配接器知悉如何查閱MES資料庫以提取用於諸多自動機器人之資訊,並將資料封裝/置入工作記憶體中,而用於自主性系統的一或更多部件舉例而言,當工具處理工件時,配接器部件1935可每次收集一晶圓或工件的晶圓層級運行資料。然後,配接器部件1935可合併批次中之個別運作以形成「批量層級資料」、「維護時距資料」等。或者,若工具系統1910輸出批量層級資料的單一檔案(或電腦產品資源),配接器部件1935能夠擷取晶圓層級資料、步驟層級資料等。再者,分解之資料元素可關於工具系統1910之一或更多部件,例如感測器部件1925中之壓力控制器正在操作的時間及變量。接續處理或封裝如上述所接收的資源1928之後,配接器部件1935可將經處理的資料儲存於(複數)資料庫1955中。
(複數)資料庫1955可包含源自於下列之資料:(i)工具系統1910,透過由檢驗系統/感測器部件1925中之感測器執行的量測;(ii)製造執行系統(MES)資料庫或歷史資料庫;或(iii)產生於工具系統1910之電腦模擬的資料,例如由作用者1990執行之半導體晶圓製造的模擬。在一態樣中,MES為可量測及控制製造程序及處理程序、可追蹤設備有效性及狀態、可控制庫存量、且可監測警示的系統。
吾人應察知,由工具系統1910所製造之產品或產品資源可透過互動部件1930傳輸至作用者1990。吾人應察知,產品資源可由作用者1990加以分析,且所得的資訊、或者資料資源輸送至自主性學習系統1960。在另一態樣中,互動部件1930可經由配接器部件1935執行產品資源1928的分析。
此外,應注意在實施例1900中,互動部件1930及自主性學習系統1960係相關於工具系統1910外部地設置。可實現自主性生物基礎學習工具1900的替代性佈署配置,譬如嵌入式配置,其中互動部件1930及自主性生物基礎學習系統1960可存在於製造平台工具系統1910內;於例如單一嵌入模式的單一特定工具部件中;或者於例如多重嵌入模式的平台之叢集工具部件中。如此配置替代例可以階層方式實現,其中自主性學習系統支援形成群組工具或平台、或工具集團之一組自主性學習工具。如此之複雜配置係於以下詳細討論。
接著,相關於圖20討論例示性工具系統2000,且用於自主性生物基礎學習系統1960之例示架構係相關於圖21-25加以呈現並詳細討論。
圖21顯示例示自主性生物基礎學習引擎之範例架構2100的高階方塊圖。於實施例2100中,自主性學習系統1960包含功能性記憶體部件之階層,該功能性記憶體部件包含長期記憶體(LTM)2110、短期記憶體(STM)2120、和事件記憶體(EM)2130。如此功能性記憶體部件之各者可透過知識網路1975通訊,該知識網路1975如相關於圖19之討論中所說明操作。此外,自主性學習系統1960能夠包含自動機器人部件2140,該自動機器人部件2140包含識別為自動機器人的功能性處理單元,其具有與相關於處理平台1985說明之該等功能性單元實質相同的特性。應注意,該自動機器人部件2140可為處理平台1985之一部分。
再者,自主性學習系統1960可包含一或更多主要功能性單元,該主要功能性單元包含自我察知部件2150、自我概念化部件2160、及自我最佳化部件2170。第一前授(FF)迴路2152可作為前向連結,並且可在自我察知部件2150與自我概念化部件2160之間通訊資料。此外,第一回授(FB)迴路2158可作為反向連結,並且可在自我概念化部件2160與自我察知部件2150之間通訊資料。類似地,自我概念化部件2160與自我最佳化部件2170之間的前向連結和反向連結資料通訊可分別透過第二FF迴路2162及第二FB迴路2168完成。吾人應察知,在FF連結中,資料可在通訊至接收該資料以進一步對其加以處理的部件之前轉換,而在FB連結中,下一資料元素可由接收資料並且接著處理該資料的部件加以轉換。舉例而言,透過FF連結2152移轉之資料在資料通訊至自我概念化部件2160之前可由自我察知部件2150加以轉換。吾人應進一步察知,FF連結2152及2162可促進部件2150與部件2170之間資料的間接通訊,而FB連結2168及2158可促進部件2170與部件2150之間資料的間接通訊。此外,資料可透過知識網路1975在部件2150、2160、及2170之間直接傳輸。
長期記憶體2110可儲存透過互動部件1930在工具系統之初始化或配置期間供應的知識(例如先驗知識),以於初始化/配置之後訓練自主性學習工具系統1900。此外,由自主性學習系統1960產生的知識可儲存在長期記憶體2110中。吾人應察知,LTM 2110可為記憶體平台1965之一部分,且因此可顯示其實質相同的特性。長期記憶體2110通常可包括含有關於製造平台部件(例如處理模組、量測模組、檢驗系統、轉移模組等)、關係、處理步驟及程序之資訊的知識基礎。知識基礎的至少一部分可為語意網路,其敘述或分類資料類型(例如,為序列、平均值、標準差)、資料類型之間的關係、和將第一組資料類型轉換成第二組資料類型之程序。
知識基礎可含有知識元素、或概念。在一態樣中,各知識元素可關聯於兩數字屬性;知識元素、或概念之適合性(ξ)和慣性(inertia)(ι);如此屬性集體地決定概念之優先順序。例如此兩數字屬性的加權總和、幾何平均的完善定義函數可為概念的情況分數(σ)。舉例而言,σ=ξ+ι。知識元素之適合性可定義為在特定時間,知識元素(例如,概念)對工具系統或目標部件情況的相關性。在一態樣中,具有比第二元素更高之適合性分數的第一元素(或概念)可比具有較低適合性分數之第二元素更相關於自主性學習系統1960之目前狀態及工具系統1910之目前狀態。知識元素(或概念)之慣性可定義為關聯於知識元素之利用的困難度。舉例而言,可將慣性之低第一值授予數字元素,可將一系列數字歸於高於第一值之第二慣性值,數字的序列可具有高於第二值之第三慣性值,且數字的矩陣可具有高於第三值之第四慣性值。注意慣性可應用於其他的知識或資訊結構,像是圖形、資料庫中的表格、聲頻檔案、視訊框、程式碼片段、程式碼腳本等;後者的項目可實質上全為輸入1730之一部分。標的創新提供可影響知識元素被檢索和應用之可能性的適合性和慣性之完善定義函數。具有最高情況分數之概念為最有可能被送至短期記憶體2120而用於藉由處理單元之處理的概念。
短期記憶體2120為暫時儲存器,其可用作工作記憶體(例如,工作空間或快取記憶體)或者作為協作/競爭操作、或關聯於特定演算法或程序之自動機器人可操作於資料類型的位置。容納於STM 2120中之資料可具有一或更多資料結構。STM 2120中之如此資料結構可因為受到自動機器人和計畫器überbot機器人(例如專用於排定計畫之自動機器人)作用之資料轉換而改變。短期記憶體2120可包含資料、由互動管理器1945所提供之學習指令、來自長期記憶體2110之知識、由一或更多自動機器人或überbot機器人所提供及/或產生的資料、及/或由作用者1990所提供之初始化/配置命令。短期記憶體2120可追蹤用以轉換儲存於其中之資料的一或更多自動機器人及/或überbot機器人的狀態。
事件記憶體2130儲存可包含作用者識別之可關聯於製程之參數及概念組的事件。在一態樣中,情節能夠包括外部的資料或輸入1730,且其可提供特定的脈絡至自主性學習工具1900。注意事件一般可關聯於追求目標時(例如藉由工具系統1910、目標部件1720、或自主性學習系統1960)識別和產生之特定情節。識別事件之作用者可為人類動作者,像是製程工程師、工具工程師、現場支援工程師等,或者其可為機器。吾人應該察知,事件記憶體2130類似人類事件記憶體,其中關聯於特定(複數)場景之知識(例如事件)可在不回想導致事件之學習過程的情況下存在且可存取。事件之引入或定義典型為訓練循環或實質上任何輸入外部供應的一部分,且其可藉由自主性生物基礎學習系統1960引起嘗試以學習將資料樣式特性化、或輸入樣式,該等樣式可在關聯於事件之資料中存在。關聯於事件之資料的特性化樣式可儲存於事件記憶體2130中,結合該事件和事件名稱。將事件新增至事件記憶體530可導致產生事件專用自動機器人,其可在由工具系統310進行之製程中一組參數(或總體而言,目標部件1720)進入如事件中定義之操作範圍時變得主動;當關聯於所追求目標或製程之第一特徵被察知時,該事件專用自動機器人接收充足的啟動能量。若參數符合透過所接收事件建立之準則,事件專用自動機器人便比較事件中資料之樣式與現時可取用之資料。若工具系統1910之(如由所察知資料樣式所定義的)現時情況、或者目標部件匹配已儲存之事件,便產生警示以確保工具維護工程師可變成知道情況且可採取預防措施以減緩對於功能性部件1915或感測器部件1925或工具製程中所使用之材料的額外損害。
自動機器人部件2140包含自動機器人庫,該自動機器人庫執行輸入資料類型(例如矩陣、向量、序列等)上之特定操作。在一態樣中,自動機器人存在於自動機器人語意網中,其中各自動機器人可具有關聯之優先順序;自動機器人之優先順序為其啟動能量(EA )及其抑制能量(EI )之函數。自動機器人部件2140為自動機器人之組織化儲存庫,其可包含用於自我察知部件2150、自我概念化部件2160、自我最佳化部件2170之自動機器人、及可參與在部件之間和諸多記憶體單元之間轉換和傳遞資料之額外自動機器人。可由自動機器人執行的特定操作可包含序列平均值、序列排序、第一與第二向量之間的純量乘積、第一矩陣及第二矩陣的乘法、時間序列對時間之微分、序列自相關計算、第一與第二序列之間的互相關性操作、一組完整之基本函數中之函數的分解、時間序列數字資料流之小波分解(wavelet decomposition)、或時間序列之傅立葉分解。吾人應察知,額外的操作可取決於輸入資料而執行,亦即,於影像、聲音記錄、或者生物辨識特徵、視訊框壓縮、環境聲音或語音命令之數位化等之特徵擷取。由自動機器人執行之各操作可為轉換一或更多輸入資料類型以產生一或更多輸出資料類型之具名函數。於自動機器人部件2140中自動機器人所針對而存在之各函數可具有元素於LTM中,而使得itherbot機器人可根據總「注意力幅度」和自主性學習系統1960之需求而作出自動機器人啟動/抑制能量決定。類似於自主性學習系統1960,自動機器人部件2140中之自動機器人可隨時間而改善其效能。對於自動機器人之改善可包含更佳的產生結果(例如輸出)品質、更佳的執行效能(例如,較短之運作時間、執行較大計算之能力等)、或針對特定自動機器人之提升的輸入域範圍(例如,包含自動機器人可於其上操作之額外資料類型)。
儲存於LTM 2110、STM 2120和EM 2130中之知識(概念和資料)可由主要功能性單元使用,該主要功能性單元授予自主性生物基礎學習系統1960其部分功能。
自我察知部件2150可在工具系統1910之第一可接受操作狀態與於稍後時間工具系統已劣化之後續狀態之間判定工具系統劣化之程度。在一態樣中,自主性學習系統1960可接收將可接收操作狀態特性化的資料、及關聯於例如此可接收狀態中製造之工件的產品資源之資料;如此資料資源可被識別為正準資料(canonical data)。自主性生物基礎學習系統1960可處理該正準資料,且關聯之結果(例如關於重要參數之統計、關於在觀察到工件之一或更多所量測屬性或參數上漂移的工件中之不合格性及缺陷的資料、關於工具參數之預測性函數等)可由自我察知部件2150儲存並用於比較供應作為資訊輸入1958的資料,例如生產製程資料或測試運行資料或工件上的圖案。若正準資料之已產生、已學習之結果與裝置製程運行資料或圖案之間的差異小,則可將製造系統劣化視為低的。或者,若正準資料之已儲存之學習結果與樣本製程資料或其他工件資料之間的差異大,則在工件中可能有明顯程度的不合格性或缺陷。明顯程度的不合格性及製程劣化可能導致製程、或目標、脈絡調整。此處所述的劣化可從劣化向量(Q1 、Q2 、…、Qu )計算,其中劣化向量之各成分Qλ (λ=1、2、…、U)為可取得資料組之不同的面向,例如:Q1可為多變量平均值、Q2可為關聯之多變量偏差、Q3可為用於製程步驟中特定變量之一組小波係數、Q4可為預測壓力與量測壓力之間的平均值差等等。正常訓練運作針對各成分產生特定組之值(例如,訓練資料資源),該等值可與從各成分利用運行資料(例如運行資料資源)產生之成分Q1 至QU 比較。為了評估劣化,可使用適合的距離計量來比較運行劣化向量距其在{Q}空間中之「正常位置」的(例如,歐幾里德)距離;如此歐幾里德距離越大,則可稱工具系統越為劣化。此外,第二計量可為計算兩向量之間的餘弦相似度計量。
自我概念化部件2160可配置成建立對重要製造平台與工具系統1910關係(例如,一或更多製程腔室行為函數)和敘述(例如,關於請求和量測之參數之統計、參數在劣化上之影響等)的了解。吾人應察知,關係和敘述亦為資料、或軟體、資源。該了解係藉由自主性學習系統1960,或者透過作用者1990(例如,人類動作者)所供應之指引,而自主性地建立(例如,藉由源自輸入資料之推論和脈絡目標調適;推論可例如經由譬如基因演算法之多變量迴歸或演化式規劃而完成)。自我概念化部件2160建構工具系統1910、或大致而言類似部件1720之目標部件的單一參數之行為的功能性敘述,譬如於特定沉積步驟期間於半導體製造系統中之膜形成模組中之壓力,而作為時間之函數。此外,自我概念化部件2160可學習關聯於工具系統之行為,像是相依變量於特定組之輸入資訊1958上的函數關係。在一態樣中,自我概念化部件2160可學習具有給定容積之沉積腔室中之壓力在特定氣體流、溫度、排放閥角度、時間等存在之情況下的行為。再者,自我概念化部件2160可產生可用於預測目的之系統關係及性質。在已學習行為之中,自我概念化部件2160可學習將正常狀態特性化之關係和敘述。如此正常狀態典型地由自主性學習系統1960用作觀察者工具行為中變化所對比的參考狀態。
自我最佳化部件2170可基於預測值(例如,基於由自我概念化部件2160所學習之函數相依性或關係及測量值的預測)之間工具系統1910偏差之程度來分析自主性生物基礎學習系統1900的目前健康度或效能,以識別(a)來自製造平台/工具系統1960之不合格性的可能原因,或(b)根據由自主性學習系統1960收集之資訊識別製造平台/工具系統劣化之根本原因的一或更多來源。自我最佳化部件2170可隨時間學習自主性學習系統1960是否初始不正確地識別對於不合格性或缺陷的錯誤根本原因,學習系統1900允許維護日誌或使用者指引之輸入,以正確地識別實際根本原因。在一態樣中,自主性學習系統1960利用伴隨學習之貝式推論(Bayesian inference)更新用於其診斷之基礎,以改善未來的診斷準確性。或者,可將最佳化計畫加以調適,且可將如此調適計畫儲存於最佳化事件歷史,以供後續的檢索、採取、及執行。再者,透過最佳化計畫,可達到對於由工具系統1910實施之製程、或總體而言由目標部件1720追求之目標的一組調適。自我最佳化部件2170可利用資料回授(例如透過連結1965、1955、及1915產生作用的迴路)以發展可提升製程或目標最佳化的調適計畫。
於實施例2100中,自主性生物基礎學習系統1960可更包含計畫器部件2180及系統脈絡部件2190。功能性記憶體部件2110、2120、及2130以及主要功能性單元2150、2160、和2170的層級可經由知識網路1975與計畫器部件2180及系統脈絡部件2190通訊。
計畫器部件2180可利用並包含自動機器人部件2140中的較高階自動機器人。如此自動機器人可識別為計畫器überbot機器人,且可對例如適合性、重要性、啟動/抑制能量、及通訊優先順序的諸多數字屬性實施調整。計畫器部件2180可實施固定的、直接的全體策略;例如,藉由產生可強迫特定的資料類型、或資料結構透過可於短期記憶體2120中取得的特定知識及特定自動機器人在短期記憶體2120中受操控的一組計畫器überbot機器人。在一態樣中,由計畫器部件2180產生之自動機器人可設置於自動機器人部件2140中,且經由知識網路1975加以利用。或者、或此外,計畫器部件2180可實施間接全體策略作為自主性學習系統1960之目前脈絡、工具系統1910之目前狀況、短期記憶體2120之內容(該短期記憶體2120可包含可操作於該內容中的相關聯自動機器人)、及諸多自動機器人之利用成本/效益分析的函數。吾人應察知,標的自主性生物基礎學習工具1900可提供計畫器部件之動態延伸。
計畫器部件2180可作為可確保於自主性生物基礎工具1900中之製程(或目標)調適不導致其劣化的控管部件。在一態樣中,控管特徵可經由產生控管überbot機器人透過直接全體策略而實施,該控管überbot機器人基於已排定計畫之製程(或目標)調適推論操作狀況。如此推論可透過控管überbot機器人所運作的資料類型之語意網路而產生作用,且該推論可由成本/效益分析支援或補足。吾人應察知,計畫器部件2180可保存漂移在目標空間之特定區域內的目標,該目標空間可緩和對目標部件(例如,工具系統1910)的特定損害。
系統脈絡部件2190可獲取利用自主性學習系統1960之自主性生物基礎學習工具1900的目前能力。系統脈絡部件2190可包含狀態識別器,該狀態識別器包含(i)關聯於內部能力程度之值(例如,製造平台/工具系統1910於實施製程(或者追求目標)之有效程度)、當實施該製程時所使用的一組資源、最終產品或服務(或所追求目標之成果)的品質評估、裝置之交付時間(time-to-delivery)等,以及(ii)指示自主性學習工具1900之狀態的標籤、或識別器。舉例而言,該標籤可指示例如「初始狀態」、「訓練狀態」、「監測狀態」、「學習狀態」、或者「應用知識」。能力的程度可藉由經決定之範圍中的數字值、或者計量而特性化。再者,系統脈絡部件2190可包含由自主性學習系統1960執行經過特定時間間距之學習的概述、以及可能之製程或目標調適的概述,該可能之製程或目標調適可鑑於所執行的學習而實施。
圖22A顯示例示自動機器人部件2140。自動機器人22151 -2215N 表示自動機器人和überbot機器人之儲存庫,各機器人具有特定的動態優先順序22251 -2225N 。自動機器人22151 -2215N 可與記憶體(例如,長期或短期記憶體,或者事件記憶體)通訊。如先前所指出,自動機器人的優先順序係藉由自動機器人之啟動能量和抑制能量所決定。當可由自動機器人處理之資料是在STM中時,自動機器人(例如,自動機器人22151 或2215N )獲得啟動能量(透過überbot機器人)。當自動機器人可啟動其本身以執行其功能性任務時,自動機器人(例如,自動機器人22152 )啟動能量和抑制能量之加權總和(例如Σ=wA EA +wI EI )可決定:當Σ>Ψ時(其中Ψ為預定之內建閾值),自動機器人自我啟動。吾人應察知,標的自主性生物基礎學習工具1900可提供自動機器人之動態增強。
圖22B顯示自動機器人之範例架構2250。自動機器人2260可為包含於自動機器人部件2140中之自動機器人的實質上任一者。功能性部件2263決定並執行自動機器人2260可施行於輸入資料上之操作的至少一部分。處理器2266可執行由自動機器人2260施行之操作的至少一部分。在一態樣中,處理器2266可運作為功能性部件2263之協同處理器。處理器2266亦可包括內部記憶體2269,先前所執行之操作的一組結果係保持在該內部記憶體2269中。在一態樣中,內部記憶體運作為快取記憶體,其儲存關聯於自動機器人之操作、EA 和EI 之目前和先前值、操作之歷史記錄等的輸入資料。內部記憶體2269亦可促進自動機器人2260學習當特定類型和數量之錯誤被回授或回傳至自動機器人2260時,如何改善即將到來之結果的品質。因此,自動機器人2260可透過一組之訓練循環加以訓練,以利用特定方式操控特定的輸入資料。
自動機器人(例如,自動機器人2260)亦可為自我敘述性,因為自動機器人可指明(a)自動機器人可操控或者要求的一或更多類型之輸入資料、(b)自動機器人可產生之類型的資料、及(c)輸入和輸出資訊上的一或更多限制。在一態樣中,介面2275可促進自動機器人2260自我敘述並因此表達自動機器人對於überbot機器人之可利用性和能力,以便überbot機器人依據特定工具情況供應啟動/抑制能量至自動機器人。
圖23顯示自主性生物基礎學習系統1960中之自我察知部件的範例架構2300。自我察知部件2150可決定相關於製造平台/工具系統(例如工具系統1910)中已學習正常狀態的目前劣化程度。工件中的不合格性及劣化可能發生自多個來源,例如工具系統中之機械部件的損耗;不適當的操作或者開發操作而發展可能強迫工具系統在一或更多最佳範圍以外操作的配方(例如,資料資源)或者製程;製造平台/工具系統之不適當客製化;或者不充分依照維護排程。自我察知部件2150可透過(i)記憶體之階層,例如,可為記憶體平台1965之一部分的察知記憶體;(ii)功能性操作單元,譬如可存在於自動機器人部件2140中且可為處理平台1985之一部分的察知自動機器人;以及(iii)一組察知計劃器,而以遞迴方式組合、或定義。基於劣化之程度,自主性學習系統1960可分析可取得的資料資源1928以及資訊1958以將可能的故障分級。在一態樣中,因應過度之不合格性程度,自主性學習系統可提供針對透過平台之修正性處理的控制。舉例而言,若由先前的進一步之量測/計量及相關聯資料(例如資料資源及樣式、關係、及從如此組合所擷取之實質上任何其他類型的理解)確認成功修正性處理,則修正性處理措施可由自主性學習系統1960保留。因此,於即將例示之其中已學習徵兆係透過從資料資源及分析自主性蒐集之新理解加以識別的實例中,製造平台及製程序列可受調適,以防止進一步的不合格性。
察知工作記憶體(AWM)2310為可包含識別為察知感測記憶體(ASM)2320之特殊記憶體區域的STM ,該察知感測記憶體2320可用以儲存資料,例如,可源自於感測器部件1925中之感測器或源自作用者1990、可由配接器部件1935中之一或更多配接器封裝、且可由知識網路1975接收的資訊輸入1958。自我察知部件2150亦可包含多個特殊功能自動機器人,其可存在於自動機器人部件2140中且包含察知計劃器überbot機器人(APs)。
此外,自我察知部件2150可包含察知知識記憶體(AKM)2330,該察知知識記憶體2330為LTM 之一部分,且可包含有關自我察知部件2150之操作的複數概念,例如:屬性、譬如等級或因果圖之實體、關係、或者程序。在一態樣中,用於半導體製造平台工具之自我察知部件2150可包含領域專用概念,如步驟、運行、批次、維護時間間距、濕式清潔循環等;以及一般目的概念,如數目、列表、序列、組、矩陣、連結等。如此概念可進入較高層級之摘述;例如,工件運行可定義為定序之製程步驟序列,其中步驟具有配方參數設定(例如期望之值)及一或更多步驟量測兩者。再者,AKM 2330可包含函數關係,該函數關係可連結二或更多概念,如平均、標準差、範圍、相互關係、主成分分析(PCA)、多尺度主成分分析(MSPCA)、小波或實質上任何基本函數等。應注意,多函數關係可為可應用於(且因此關於)相同的概念;例如,一表列之數目藉由平均而映射至實數例子,該平均為(函數的)關係和標準差關係、以及最大值關係等。當從一或更多實體至另一實體之關係為函數或者函數的關係(例如,函數的函數)時,可有能由überbot機器人執行以使函數有效之相關聯程序。概念之精確的定義可表示於譬如UML、OMGL等之適當資料概要定義語言。吾人應進一步察知,AKM 2330之內容可在不停止系統的情況下,於(工具系統)運作時間動態地擴大。
於AKM 2330中之各概念(如此處所述之知識基礎中的任何概念)可關聯於適合性屬性和慣性屬性,而導致概念之特定情況分數。最初,在對自主性系統提供資料之前,針對AKM 2330中所有元件之適合性值為0,但是針對所有概念之慣性可為工具相依,且可由作用者或基於歷史資料(例如(複數)資料庫1955中之資料)指定。在一態樣中,從一組數目產生平均之程序的慣性實質上可為低的(例如,t=1),因為平均之計算可視為實質上可應用於涉及所收集資料組、或者來自電腦模擬之結果之所有情況的明顯簡單操作。類似地,轉換一組數目的最大化和最小化程序可被賦予實質上低慣性值。或者,計算範圍及計算標準差可被提供較高的慣性值(例如,t=2),因為如此知識元更難以應用,而計算PCA可顯示較高慣性位準,且計算MSPCA可具有又更高之慣性位準。
情況分數可用以決定哪一個(哪一些)概念從AKM 2330與AWM 2310之間通訊(參看下文)。超過情況分數閾值的知識元或概念適格傳輸至AWM 2310。當AWM 2310中有充分可用以保存概念的儲存部、且沒有尚未輸送至AWM 2310之具有較高情況分數的不同概念時,可傳輸此等概念。於AWM 2310中概念的適合性、和因此概念的情況分數可隨時間進展而衰變,其可在記憶體中一或更多概念不再受需要或者不再可應用時允許具有較高適合性之新概念進入察知工作記憶體2310。應注意,概念之慣性越大,便需花越長之時間將該概念傳輸至AWM 2310和從AWM 2310去除。
當製造平台/工具系統狀態改變時,例如,更換濺鍍靶、加上電子束槍、完成沉積製程、起始原位探頭、完成退火階段等,察知計劃器2350 überbot機器人可記錄哪些概念(例如知識元)可應用於新的狀態,並且可增加AKM 2330中之各如此概念的適合性值(且因此增加情況分數)。類似地,自動機器人22151 至2215N 之啟動能量可由überbot機器人調整,以減少特定自動機器人之啟動能量,並且增加用於適合新情況之自動機器人的EA 。適合性(和情況分數)之增量可由計劃器überbot機器人散布至該等概念的第一相鄰者且然後至第二相鄰者等。吾人應察知,於AKM 2330中之第一概念的相鄰者可為依照所選量測(例如跳躍的數目、歐幾里德距離等),在拓樸學上存在於離第一概念特定距離內的第二概念。應注意,第二概念離第一概念(其接收適合性的原始增量)的距離越遠,第二概念於適合性上的增量就越小。於是,適合性(情況分數)增量表示作為「概念性距離」之函數的減緩之散布。
於架構2100中,自我察知部件2150包括察知排程配接器(ASA)2360,該察知排程配接器2360可為察知計劃器2350之延伸,且可請求及使外來資料或內在資料收集(例如透過互動部件1930經由感測器部件1925、經由輸入1730、或經由(回授)連結1755)上的改變產生作用。在一態樣中,察知排程配接器2360可引入資料取樣頻率調整,例如,其可調節配接器部件1935中不同配接器可傳輸資料至欲用於ASM 2320之知識網路1975(例如資訊輸入1958)所處之速率。再者,察知排程配接器2360可以低頻率取樣,或者實質上排除收集關聯於不涉及資料之正常樣式之說明的製程變量、或無法如從調適性推論引擎1710接收之資料推論促進目標之完成之變量的資料。反之,ASA 2360可以較高頻率取樣一組廣泛使用於資料之正常樣式的變量,或者ASA 2360可積極地促進目標。再者,當自主性學習系統1960確認製造平台/工具系統1910之狀態上的改變(或關聯於特定目標之情況上的改變),其中量測資料指示產品品質或製程可靠度漸漸從正常資料樣式偏差(或者目標漂移正造成從目標空間中之初始目標明顯偏移,或不合格性存在),該自主性學習系統可經由ASA 2360請求更快速取樣資料以收集更大量之可採取措施資訊(例如,輸入1730),該資訊可有效地確認不合格性及製程劣化,並觸發適當的修正性處利措施或主動阻絕。
作用者1990(例如人類動作者)可以多個方式訓練自我察知部件2150,其可包含一或更多事件(包含例如成功調適之目標的例示)的定義。針對事件透過自我察知部件2150訓練自主性學習系統1960可發生如下。作用者1990產生事件並提供該事件特有的名稱。然後可將新產生之事件的資料給至自主性學習系統1960。該資料可為工具系統1910之單一特定操作步驟期間用於特定感測器之資料、單一特定步驟期間之一組參數、用於運行之單一參數平均值等。
或者,或此外,可由作用者1990提供更多基本的指引。舉例而言,現場支援工程師可在工具系統1910執行預防性工具維護(PM)。可對PM加以計畫並使之週期地發生,或其可為未經計畫、或非同步的。吾人應察知,預防性工具維護可因應由自主性學習系統1960之請求、因應例行的預防性維護、或者因應非排程的維護,而實施於製造系統上。時間間距在連續的PM之間渡過,於此時間間距期間,一或更多製程(例如,晶圓/批次製造)可發生於工具系統中。透過資料和產品資源和關聯的資訊(譬如產生作用的計畫器和計畫之外的維護),自主性學習系統可推論測「故障週期」。於是,自主性學習系統可利用(複數)資源1928來推論故障間平均時間(mean time between failure,MTBF)。如此推論係透過做為關鍵資料和產品資源之函數的時間對故障之模型而加以支持。再者,透過接收為資訊輸入/輸出(I/O)1958的不同資源之間的關係、或透過從由專家作用者傳送之經監督訓練對話(sessions)產生的歷史資料,自主性學習系統1960可發展模型。吾人應察知,專家作用者可為與經訓練之不同自主性學習系統互動的不同作用者。
作用者1990可藉由通知系統其可平均晶圓層級運行資料且評估跨越PM時間間距之關鍵參數上的漂移而引導自主性系統。更具有挑戰性的演練亦可由自主性系統執行,其中作用者1990透過學習指令指示自主性學習系統1960在各個未計畫之PM之前,學習將在晶圓平均層級之資料的樣式特性化。如此指令可在未計畫之PM之前促進自主性學習系統1960學習資料之樣式,且若資料之樣式可由自動機器人識別,自我察知部件2150可隨著時間進展而學習如此樣式。於學習樣式期間,察知部件2150可從自我概念化部件2160或者存在於自動機器人部件2140中之察知自動機器人請求協助(或服務)。當用於工具系統之樣式以高信賴度學習時(例如由反映於PCA分解之係數中的樣式之再現度、於K叢集演算法(K-cluster algorithm)中主要叢集之大小、或者作為一組不同參數及時間之函數的第一參數之大小的預測等而量測),自主性生物基礎學習系統1960可產生關聯於導致需要工具維護之故障的參考事件,使得在發生參考事件之前可觸發警告。應注意,可存在於自動機器人部件2140中的察知自動機器人在其具有必要性之前,可能無法將故障參考事件、或可能請求未計畫之維護之實質上任何特定情況的資料樣式完整特性化。吾人應察知,可包含深度行為性及預測性功能分析之工具系統1910的如此預防性健康度管理仍然可藉由自我概念化部件2160中之自動機器人執行。
圖24為可操作於察知工作記憶體2320之自動機器人之示圖2400。例示之自動機器人(計量器2415、預期引擎2425、意外分數產生器2435、和概述產生器2445)可組成察知引擎;虛擬緊急部件,其緊急本質從基本的組成要素(例如,自動機器人2415、2425、2435、和2445)之協力操作產生。吾人應察知,察知引擎為一或更多計畫überbot機器人可如何使用協調之自動機器人之集合以執行複雜活動的例子。計畫überbot機器人使用諸多自動機器人(例如,平均、標準差、PCA、小波、導數等)或者自我概念化部件1560之服務,以特性化自主性生物基礎學習系統中接收之資料之樣式特性化。用於各步驟、運行、批量等之資料可由外部實體於訓練期間標示為正常或不正常。計量器2415可由計劃überbot機器人採用,以利用正常資料學習用於原型、正常製程的資料之樣式。此外,計量器2415可評估寄存至ASM 2320中之未標記資料組(例如,資訊輸入1958)並且比較正常資料樣式與未標記資料之資料樣式。用以利用正常資料預測參數之正常資料或方程式的預期樣式可透過預期引擎2425加以儲存和操控。應注意,未標記之資料的樣式可依照多個度量在諸多方面不同於正常資料之樣式;例如,可超過霍特林(Hotelling)T2統計之閾值(如應用於PCA和MS-PCA並且從訓練運行導出);未標記之資料組之資料子組的平均值可與用正常、訓練運行資料計算之平均值差超過3σ(或者其他預定之偏差間距);測量參數之漂移可實質不同於關聯於正常運行之資料中所觀察到者等。概述產生器2445因此產生具有用於正常資料之分量的向量,而意外分數產生器2435可實質上納入、和排序或加權向量之分量中之所有如此差異,並計算工具系統之淨劣化的意外分數,該淨劣化的意外分數反映工具系統之健康度並且反映該工具系統「偏離正常」多遠。吾人應察知,正常和未標記之度量之間的差異可作為時間的函數而改變。於是,透過正常資料之增加量的收集,自主性生物基礎學習系統1960可隨時間進展而以較高統計信賴度學習諸多操作限度,且可相應地調整製程配方(例如,目標)。如透過意外分數所測得的劣化狀況可例如經由概述產生器2445向作用者報告。
圖25例示自主性生物基礎學習系統之自我概念化部件之範例實施例2500。自我概念化部件之功能為建立重要的半導體製造工具的關係及敘述的了解。如此了解可用以調整製造程序(例如,目標)。此所獲得的了解係自主性建立或者結合終端用戶(例如,作用者1990)所供應的指引而建立。類似地,對於其他主要功能性部件2150和2160,自我概念化部件570可在記憶體、操作單元、或者自動機器人之階層、及計畫器的方面以遞迴方式組合或定義;如此部件可連絡優先順序致能的知識網路。
實施例2500例示概念化知識記憶體(CKM)2510,其包含操作自我概念化部件2160所必須之概念(例如屬性、實體、關係、及程序)。CKM 2510中之概念包含(i)領域專有概念,譬如步驟、運作、批量、維護時間間距、濕式清潔循環、步驟量測、晶圓量測、批量量測、晶圓上位置、晶圓區域、晶圓中央、晶圓邊緣、第一晶圓、最後晶圓等;以及(ii)一般目的、領域獨立概念,像是數目、常數(例如e、π)、變數、序列、時間序列、矩陣、時間矩陣、細粒行為(fine-grained-behavior)、粗粒行為(coarse-grained-behavior)等。自我概念化部件亦包含譬如加法、減法、乘法、除法、平方、立方、羃次、指數、對數、正弦、餘弦、正切等之一般目的函數關係、以及可呈現諸多層級之細節且存在於調適性概念化模板記憶體(ACTM)920中之其他領域專有函數關係之大型陣列。
ACTM 2520為可保持函數關係之CKM 2510之擴展,該函數關係對於與工具系統1910(半導體製造平台工具)互動之作用者(例如終端用戶)為完全或部分已知。應注意,雖然ACTM為CKM之邏輯的擴展,但是自動機器人、計畫器、及其他的功能性部件未受如此分離所影響,因為實際的記憶體儲存部可於自我概念化部件2160內出現單一儲存單元。自我概念化部件2160亦可包含概念化目標記憶體(CGM)2530,其為概念化工作記憶體(CWM)2540之擴展。CGM 2530可促進例如學習(f、壓力、時間、步驟)之目前目標的自動機器人;對於特定的製程步驟,學習壓力之函數f,其中函數相依於時間。應注意,學習函數f代表可促進完成使用工具系統1910製造半導體裝置之目標。
ACTM 2520中的概念亦具有適合性數值屬性及慣性數值屬性,該慣性數值屬性可導致情況分數。慣性之值可指示待學習之概念的可能性。舉例而言,用於矩陣概念之較高慣性值及用於時間序列概念之較低慣性可導致其中自我概念化部件2160可學習時間序列之函數行為而非矩陣中資料之函數行為的情況。類似地,對於自我察知部件2150,具有較低慣性之概念更可能從CKM 2510傳輸至CWM 2540。
概念計畫器(CP)提供啟動能量至諸多自動機器人並提供情況能量至CKM 2510及ACTM 2520中之諸多概念,作為目前脈絡、工具系統1910(或總體而言,目標部件1720)之目前狀態、CWM 2540之內容、或者於CWM 2540中活動之目前自動機器人的函數。吾人應察知,啟動能量及情況能量之改變可因用於CWM 2540或CKM 2510中概念之改變的語意網路,而基於所產生之知識(例如基於學習)導致目標調適──因為藉由調適性推論引擎的推論可基於概念之傳播態樣。
ACTM 2520之內容為可敘述以上討論之知識的概念,且因此該等概念可具有情況及慣性數值屬性。ACTM 2520之內容可由自動機器人使用,以學習工具系統1910之函數行為(受到具有較低慣性之概念比具有較高慣性之概念更可能被啟動的限制)。所有的指引未必具有相同的慣性;例如,第一完整函數可被提供比第二完整函數更低之慣性,即使兩概念皆代表完整函數亦然。
當將像是部分定義之方程式的部分知識上載於CWM 2540中時,其可例如利用已存在之知識加以完成──CP協調自動機器人使用可取得的資料以先識別未知係數的數值。一組特別的(ad hoc)係數因此可將部分定義之方程式概念完成為完整之函數概念。然後可將完整方程式概念使用於預先建立之函數關係概念中,譬如加法、乘法等。具有輸出(例如,關係(輸出(κE ),T))可促進CWM 2540中之自動機器人建構和評估諸多函數敘述,該敘述涉及用於κE 和T的資料,以識別可敘述κE 和T之間關係的最佳函數。或者,不具有輸出之基本知識可在CP之幫助下促進自動機器人以指定一變量作為輸出或獨立變量,並嘗試將其表示為剩餘變量的函數。當未發現良好的函數敘述時,可將替代性變量指定為獨立變量,重複程序直到其收斂至適當的函數關係、或自主性學習系統1960對例如作用者1990指示未發現適當的函數關係為止。經識別之良好函數關係可提交至將由自主性學習系統1960中之自動機器人使用的CKM 2510而具有由CP指定之慣性位準。舉例而言,所指定之慣性可為經識別關係之數學複雜度的函數──兩變量之間之線性關係可被指定慣性值,該值低於指定至涉及多個變量、參數、及運算子(例如梯度、拉普拉斯算子、偏微分等)之非線性關係的慣性。
概念化引擎945可為「虛擬部件」,其可呈現察知自動機器人及概念化自動機器人之協調的活動。在一態樣中,自我察知部件2150可將一群組之變量(例如,於該群組中之變量可為顯示良好成對方式相互關係性質之變量)前授(透過FF迴路552)至自我概念化部件2160中。前授之資訊可促進自我概念化部件560檢查CKM 2510和ACTM 2520之函數關係模板。模板之可用度可允許可存在於概念化引擎2545中之概念化學習者(conceptualization learner,CL)之自動機器人更快速地學習在前授群組中變量之間的函數行為。吾人應察知,學習如此函數行為可為主要目標之子目標。利用CP自動機器人之支援的CL自動機器人亦可使用概念化生效者(conceptualization validator,CV)自動機器人。CV自動機器人可評估所提出函數關係之品質(例如,預測值與量測值之間之平均誤差在儀器解析度內)。CL自動機器人可自主地或者透過作用者所提供指引而獨立地學習函數關係;如此作用者所提供指引可視為外來的資料。由CL學習之函數可回授(例如,經由FB連結2158)至自我察知部件2150作為一群組受關注之變量。舉例而言,於學習函數κE0 exp(-U/T)後,其中κ0 (例如漸近的蝕刻率)及U(例如啟動阻障)擁有對於CL已知的特定值,自我概念化部件2160可回授指引群組(輸出(κE ,T))至自我察知部件2150。如此回授通訊可供自我察知部件2150學習關於如此變數之群組的樣式,而使得關於該群組之變量之劣化可被快速辨識,且若需要的話產生警報(例如,警報概述、經驗證之警報接受者列表)並予以觸發。記憶體2560為概念化事件記憶體。
應注意下列二個關於CL和CV之態樣。首先,CL可包含可使方程式簡化(例如,透過符號操控)之自動機器人,該簡化可促進將函數關係儲存為簡練的數學表示式。舉例而言,關係P=((2+3)Φ)((1+0)÷θ)被簡化成P=3Φ÷θ,此處P、Φ、和θ分別表示壓力、流動、和排放閥角度。第二,當CV決定函數關係的品質時,其可於方程式結構之複雜性中作為因子──例如,對於具有實質上相同特性的參數、像是預測值對量測值之平均誤差,較簡單的方程式較佳可取代較複雜之方程式(例如,較簡單的方程式可具有較低的概念慣性)。
此外,從自我察知部件2150至自我概念化部件2160之重要FF 2152資訊通訊、及從自我概念化部件2160至自我察知部件2150之FB 2158通訊,可涉及察知自動機器人及概念化自動機器人的協作,以將用於事件之資料的樣式特性化。如以上相關於圖21所討論,當自我察知部件2150無法學習事件時,自我概念化部件2160可透過提供一組相關函數關係而協助自我察知部件2150。舉例而言,事件之特性化可需要運行於工具系統1910中之製程的穩定化步驟中壓力之時間相依性的細粒敘述。自我概念化部件2160可建構如此於穩定化步驟中壓力之詳細(例如逐秒)時間相依性。因此,透過FB迴路2158,自我察知部件2150可學習在正常工具情況之穩定化步驟期間將壓力樣式特性化,且比較學習到的壓力時間相依性與特定事件資料中壓力之樣式。舉例而言,用於事件中資料之穩定化步驟之前在所量測壓力中尖峰的存在、及正常工具操作期間壓力資料中之尖峰的缺少可被偵測為識別於自主性生物基礎學習工具1900中事件之發生的資料樣式。
類似地,非排程PM之預測可依賴工具系統資料之關鍵量測的時間性變動及由自我概念化部件2170所傳輸之一組預測性函數的有效性。在預測相依於作為時間之函數的一組變量之投射值的情形中,預測性函數可協助自我察知部件(例如部件2150)預測未計畫之PM的緊急情況。
圖26例示自主性生物基礎學習系統中之自我最佳化部件的範例實施例2600。如以上所指出,自我最佳化部件功能性為分析製造平台/工具系統1910之目前良好健康度(例如效能)且接著判定是否偵測到不合格性,並基於目前健康度分析的結果診斷或者排序對於工具系統1910之健康度劣化的實質上所有可能原因,且基於由自主性學習系統1960獲得的學習識別不合格性的根本原因,俾提供製造平台的必要控制以提供修正性處理。類似於其他主要的功能性部件2150和2160,自我最佳化部件2170係從可屬於記憶體平台1965之記憶體之階層、及可為處理平台1985之一部分的自動機器人和計畫器以遞迴方式建立。
最佳化知識記憶體(OKM)2610包含相關於製造平台/工具系統1910之行為的診斷和最佳化之概念(例如知識)。吾人應察知,行為可包含目標和子目標。因此,OKM 2610包含領域、或目標、特定概念,譬如步驟、步驟資料、運行、運行資料、批量、批量資料、PM時間間距、濕式清潔循環、製程配方、感測器、控制器等。後者概念關聯於製造半導體裝置之工具系統1910。此外,OKM 2610包括領域獨立概念,其可包含量測值(例如來自量測模組的量測值)、序列、比較器、事例、事例索引、事例參數、原因、影響、因果相依性、證據、因果圖等。再者,OKM 2610可包括一組功能性關係,像是比較、傳播、排序、解決等。如此功能性關係可由自動機器人所利用,該自動機器人可存在於自動機器人部件2140中且可透過執行程序將其功能之至少一部分賦予OKM 2610。儲存於OKM 2610中之概念擁有適合性數字屬性和慣性數字屬性、及從其導出的情況分數。適合性、慣性和情況分數的語意實質上相同於自我察知部件2150和自我概念化部件2160之適合性、慣性和情況分數的語意。因此,若運行資料受提供比步驟資料更低的慣性,自我最佳化部件2170計畫器(例如überbot機器人)更可能將來自OKM 2610之運行資料的概念通訊至最佳化工作記憶體(OWM)2620。因此,運行資料與步驟資料之間的如此慣性關係可增加以運行相關概念一起運作之最佳化自動機器人的啟動率。
應注意,透過FF連結2152和2162,自我察知部件2150和自我概念化部件2160可影響儲存在OKM 2610之概念的情況分數、及透過可存在於最佳化計畫器部件2650中之最佳化計畫器(OP)之最佳化自動機器人的啟動能量。吾人應察知,儲存在OKM 2610中且透過自我察知部件2150和自我概念化部件2160受影響之概念可決定待最佳化之特定目標的態樣作為特定脈絡的函數。作為例示,若自我察知部件2150察知用於製程步驟之資料的樣式已經明顯地劣化且在工件中產生不合格性,則可使關聯之步驟概念的情況分數增加。如此一來,OP然後可供應額外的啟動能量至相關於步驟概念之最佳化自動機器人,以修改製程期間(例如,當追求目標時)執行之一組步驟。類似地,若自我概念化部件2160識別產品批量之工具量測之間新的函數關係、從自我概念化部件2160(例如,經由FF 2162)接收之FF資訊,則自我最佳化部件2170可增加(1)批量概念之情況分數、及(2)具有依靠批量概念之功能之最佳化自動機器人的啟動能量;因此,修改批量概念的態樣(例如,批量中晶圓之數目或類型、批量之成本、使用於批量中之資源等)。
工具系統1910之健康度評估可透過如所討論之診斷引擎2425執行。應注意,健康度評估可為製造程序之子目標。診斷引擎2425自主性地產生相依圖並允許作用者390擴大相依圖。(此相依圖可視為外來資料或者內在資料)。依照由工具系統1910所施行之製程的動力、及可由作用者1990設計之診斷計畫,因果圖可遞增地傳輸。舉例而言,因果圖可顯示「壓力」故障是由四個原因其中一者所引起:沉積腔室具有裂縫、進入腔室之氣流有缺陷、排氣閥角度(其控制氣流之大小)有缺陷、或者壓力感測器錯誤。工具系統1910之部件具有故障之先驗機率(例如,腔室裂縫可能以0.01機率發生、氣流可能以0.005之機率具有缺陷等)。此外,作用者1990、或自我概念化部件2160可定義用於壓力故障之條件相依性,其可以表示為條件機率;例如,在腔室具有裂縫之條件下,壓力為故障之機率為p(P|裂縫)。一般而言,工具故障之因果性有關來源的條件機率可由作用者1990提供。應注意,自主性學習系統1960假設由作用者1990所定義之機率分配可為近似估計值,其於許多的情況可能與實際的機率(例如,由觀察值所支援之機率)顯著不同。因果圖之例子係接著相關於圖27A及2B在以下呈現及討論。
自我最佳化部件2170亦可包含預言部件2660,該預言部件2660可透過關聯於工具之資訊I/O 1958產生一組關於製造平台/工具系統1910之效能的預言。如此資訊可包括由功能性部件所使用之材料的品質;由製造平台/工具系統1910所生產之產品資源1928之物理性質,譬如折射率、光吸收係數、或在產品資源1928摻雜了載體之情形中的磁傳輸性質等。多個技術可由預言部件2660使用。如此技術包含與可由自我察知部件於處理資訊1958時所使用者實質相同的第一特性化技術;亦即,譬如(i)使用傅立葉轉換、加博爾轉換(Gabor transforms)、小波分解、基於非線性過濾之統計技術、光譜相互關係的頻率分析;(ii)使用時間相依光譜性質(其可由感測器部件1925量測)的時間分析、例如龐加萊圖(Poincar’e map)和李亞普諾夫光譜技術(Lyapunov spectrum technique)的非線性訊號處理技術;(iii)真實空間或訊號空間向量振幅和角度的變動分析;(iv)異常預測技術等。透過分析(i)、(ii)、(iii)、或(iv)產生之資訊或資料資源可利用例如神經網路推論、模糊邏輯、貝氏網路傳播(Bayes network propagation)、進化演算法(像是基因演算法)、數據融合技術等的預測技術加以增補。可將分析和預測技術的組合用以利用最佳化計畫器部件2650所產生之適當修正性測量、及可存在於部件2140中之最佳化自動機器人,經由識別如由感測器部件1925所探測之特定資源或性質中之困境傾向、以及OKM 2610中可用之資訊,來促進工具系統1910之最佳化。
圖27A說明自我概念化部件2160產生的示範因果圖2700。因果圖代表由自我概念化部件2160預測的數學函數之相依與獨立變量之間的相互作用或關係。例如,藉由存取壓力(P)、氣體流(Φ)、及閥角度(θ)的資料,自我概念化部件2160可使用例如曲線擬合、線性廻歸、基因演算法等的一或更多數學技術,以針對所關注輸出或相依變量(例如壓力)概念化或學習預測函數2710,作為資料輸入或獨立變量(氣體流、閥角度、溫度、濕度等)的函數。學習到的預測函數2710之範例可為以下壓力與兩輸入變量Φ、θ之間的關係:P=2π(Φ/θ3 )。從如此學習到的函數,自我概念化部件2160自主性建構相依圖2700。
為了產生相依圖2700,自我概念化部件2160可以兩步驟著手。(i)將比較器2720引入作為根節點(root node),其接收單一學習到之函數2710作為輸入。比較器2720的故障暗示使用自主性生物基礎學習系統的製造平台/工具系統1910中之故障。比較器故障可為布林值(例如「通過/故障」2730)結果,其可基於比較例如工件屬性之量測值與透過學習到之函數2710產生的預測值。當預測壓力值與所收集之壓力資料(如由存在於感測器部件中的壓力感測器所回報者)之間的平均差異無法保持在使用者指定界限內(例如平均差異保持在預測壓力的5%以內)時,自我概念化部件2160在比較器2720建立失敗旗標。比較器2720的故障係相依於預測函數2710的輸出。因此,比較器故障相依(受影響)於壓力讀數(PR 2740)的失效;該壓力讀數可能因為壓力感測器(PS 2743)已故障或實際壓力(例如物理量PP 2746)已失效而失效。實際壓力PP 2746可能因為壓力機制(PM 2749)可能故障而失效。因此,系統自主性產生PR 2740與{PS 2743, PP 2746}之間、及PP 2740與{PM 2749}之間的相依性。
(ii)所學習到之函數2710的相依變量係用以完成如下所述的相依圖。壓力機制PM 2749可能在氣體流讀數(ΦR 2750)失效或閥角度讀數(θR 2760)失效時失效—所學習到之函數2710中的相依變量。因此,自我概念化部件2160產生PM 2749與{ΦR 2750, θR 2760}之間的相依性。實質上相同的處理、推理可針對讀數中之失效而由自我概念化部件2160採用,以產生ΦR 2750與{ΦS 2753, ΦP 2756}之間、及θR 2760與{θS 2763, θP 2766}之間的相依性。自我概念化部件2160可接著新增ΦP 2756與{ΦM 2759}之間、及θP 與{θM }之間的相依性。應注意,物理量(例如PP 2746、ΦP 2756、θP 2766)與相關機制(例如PM 2749、ΦM 2759、θM 2769)之間的關係是冗贅的,且係呈現以增進明確性—機制節點(例如節點2749、2759、及2769)可移除,且其子代產生相關物理量值節點(例如節點2746、2756、及2769)的子代。
在例如相依圖2700的相依圖中,葉層級(leaf-level)節點為實際故障點;例如節點2740、2743、2746、及2749;節點2750、2753、2756、及2759;以及2760、2763、2766、及2769。在一態樣中,作用者(例如作用者1990,其可為使用者)可將所有實際故障點的先驗機率提供至生物自主性學習系統。如此先驗機率可從該部件的製造規格、現場資料(field data)、MTBF資料等獲得,或可藉由存在於製造工具中且涉及相關製造處理的零件之效能的模擬所產生。作用者亦可基於過去經驗、判斷、現場資料及可能故障模式(例如第一故障的出現可排除第二故障的可能性,或第一故障可能增加第二故障發生的機率等)提供條件機率。當例如經由互動部件(如部件1940)接收到先驗及條件機率時,自主性系統可使用具備學習的貝氏網路傳播,以基於送到自主性系統的實際故障資料更新該機率。因此,在由作用者提供的初始機率為錯誤的情形中,自主性系統在現場資料牴觸或支持故障結果(亦即,比較器的「通過」或「失敗」結果)時調整機率。
應注意,作用者(例如作用者1990,其可為使用者)可新增相依性至自主性產生的根源於機制故障之相依圖(例如相依圖)。如此之新增可例如透過互動管理器1955完成。於一態樣中,如所說明,相依圖2700係以標示為PLEAK 2770及PALT 2773的兩節點擴充,其導致PM 2749在{ΦR 2750、θR 2760、PLEAK 2770與PALT 2773}的相依性。吾人應察知,相依圖2700亦可以更深層的圖形加以擴充。透過自我概念化部件2160,節點PLEAK 2770的新增通知自主性系統除了氣體流讀數或閥角度讀數失效之外,壓力機制亦可能在工具中存在裂縫的情況下故障。節點PALT 2773與節點2770互補,因為PALT 2773代表非裂縫的機制引起系統故障的可能性。當增加節點或更深層的圖形時,作用者將指定節點的先驗機率及描述相依性的相關條件機率。
吾人應察知,所學習到之函數可能比如上所述之該函數P=F(Φ,θ)更為複雜,而且可包含實質上更多獨立變量;然而,因果圖可以實質上相同的方式製備。
圖27B為具有預測與配方比較器的學習到之函數相依圖範例的示圖2780。除了學習到之函數的比較器(例如比較器2720)之外,自主性生物基礎學習系統可產生一或更多配方比較器。配方比較器(例如比較器A 2795A 與比較器B 2795B )比較配方參數的設定值與來自工具系統(例如工具系統1910)中之相關感測器的對應之平均量測值或讀數。於一態樣中,給定具相關感測器與有關之規定值的配方參數(例如θ 2785A 或Φ 2785B )的集合,該自主性系統針對各設定參數產生配方比較器。類似地,對於預測函數比較器,若設定配方值與讀數差異超過可由作用者(例如作用者1990)決定之特定閾值,配方比較器發出故障訊號。應注意,在示圖2780中,不產生壓力的配方比較器,因為未將製程壓力設定至特定值。
為識別根本原因,例如具有最高故障機率的實際故障點,自主性生物基礎學習系統可利用一或更多預測器或配方比較器的故障對所有存在於相依圖中的實際故障點進行排序。於一態樣中,對於具有一或更多比較器的完整相依圖而言,若給定比較器之故障特徵,自主性生物基礎學習系統可使用貝氏推論以傳播機率。因此,系統可針對各比較器計算特定通過/故障結果(例如比較器A 2795A 的結果2798A 或比較器B 2795B 的結果2798B )之故障機率。舉例而言,假測預測器比較器2720與配方比較器A 2795A 故障而比較器B 2795B 通過。在比較器故障的情況下,自主性系統可對每一實際故障點計算故障機率。(例如,在比較器2720及比較器A 2795A 故障而比較器B 2795B 通過的情況下,壓力感測器故障的機率為何)。接著將每一故障點從最可能故障(最高計算機率)、或最有可能的根本原因,到最不可能故障(最低計算機率)加以排序。可視為可採取措施智慧(例如,輸出1740)的根本原因識別可經由互動管理器傳送給作用者以供進一步處理;例如訂購新零件、要求維護服務(作用者與工具製造商溝通或處於工具製造商位置)、下載軟體更新、安排新的訓練課程等。
圖28說明自主性生物基礎學習系統之例示群組部署的高階方塊圖2800。自主性工具系統28201 至2820K 的群組可由自主性生物處學習工具1960控制,該自主性生物基礎學習工具1960接收(輸入)及傳遞(輸出)資訊1958到介面1930,該介面1930促進作用者1990與自主性工具系統28201 至2820K 的群組和自主性學習系統1960互動。單獨而言,自主性工具系統28201 至2820K 的每一者係由相關自主性學習系統2850所支持或協助。如此學習系統具有實質上與學習系統1960相同的功能。吾人應察知,在群組2810中,自主性工具28201 至2820K 的每一者可分別提供與有關的區域作用者19901 至1990K 的獨立互動。如此作用者具有實質與作用者1990相同的功能性,如以上相關於圖19所討論。此外,與自主性工具28201 至2820K 的互動以實質上與自主性系統1900中相同的方式,透過互動部件2840並藉由提供及接收工具專屬資訊(例如28481 至2848K )及資源而發生,該資訊及資源二者典型地均為工具系統特有(例如資源28501 至2850K )。尤其,吾人應察知,在群組部署2812中,作用者19901 至1990K 的各者可監測相關系統工具(例如系統工具28202 )之操作的相異態樣。舉例而言,區域作用者19901- 1990K 可建立關鍵性的一組特定輸出(例如28601 至2860K )。如此決定可基於歷史資料或設計(例如製程的配方)、或可以自主性源自所產生之樣式、結構、關係或類似者。在不具有如此決定的情形下,群組自主性學習系統1960假設實質上所有導致群組輸出2865的輸出(例如28601 -2860K )是關鍵的。
在一態樣中,自主性學習系統360可在正常(例如無錯誤)群組工具2800運作期間學習(透過以上相關於系統而敘述的學習機制)關鍵輸出參數的期望值。於一態樣中,當量測到之輸出2865偏離預期輸出時,自主性學習系統1960可將群組2800效能的效能度量識別為劣化。吾人應察知,後者的評估可以實質上與相關於單一自主性工具系統1900而敘述者相同的方式進行;亦即,透過自主性學習系統1960的自我察知部件。應注意,即使自主性群組工具2800可能呈現劣化的效能,但自主性工具系統28201 至2820K 的子集合可提供未劣化且符合預定度量之個別期望值的輸出。
此外,與單一工具系統(如工具系統1910)的情形類似,自主性學習系統1960可建構關鍵輸出參數的預測模型,作為關於輸出參數之個別工具的函數。吾人應察知,如此輸出參數可透過資源1928輸入/輸出加以收集。應注意,在群組工具2800中,工具輸出的量測值(例如28601 至2860K )可經由存在於工具系統28201 至2820K 之各者中的感測器部件而由自主性生物基礎學習系統1960使用,該等工具系統28201 至2820K 可透過各自主性學習系統(例如1960或2850)現存的已部署知識網路而存取。
再者,自主性系統1960亦可建構群組故障前時間(time-to-failure)的預測模型,作為工具群組或平台2800之資源1928的函數;例如群組輸入資料、群組輸出、群組團配方或群組維護活動。於一態樣中,為決定群組故障前時間,自主性學習系統1960可收集故障資料,包含所偵測(例如經由一組感測器部件或檢驗系統)到之故障間的時間、相關資源28501 至2850K 、輸出28601 至2860K 、及該組工具28201 至2820K 之實質上所有操作工具的維護活動。(吾人應察知,作為先前故障評估的結果,群組2800中之該組工具(例如工具28201 至2820K )中的特定工具(例如工具系統2 28201 及工具K 2820K )可能不運作。) 收集到的資料可以加以自主性分析(例如經由自主性學習系統1960中的處理部件1985),以學習故障前時間的預測函數,作為群組資源(例如輸入、配方…)、輸出、及資料維護活動的函數。吾人應察知,從所收集資料建構的集團故障前時間之模型可輕易顯示影響群組工具2800效能的實質上主導因素。
於一態樣中,針對群組工具2800中工具系統(例如28201 至2820K )之個別部件建構的故障前時間模型可由作用者1990(例如群組階層控制器)使用,以最佳化零件庫存和最佳化維護排程。吾人應察知,如此最佳化可至少部分由自主性系統1960進行。例如,自主性系統存取MES(或ERP)系統以識別可用零件的數量。當提供功能性至工具系統28201 至2820K (例如,像是系統1910中部件1915的功能性部件內之部件中的一或更多者之零件)、且可預期在特定時間段Δτ內是必要的一組零件超出庫存中可得數量時,可訂購額外零件。或者、或此外,當零件可取得時,可分析必要零件的預期排程以決定下新訂單的最佳或適當時間。
吾人應察知,維護排程可在必須、先前排定之維護活動期間再評估及最佳化,以利用自主性系統1960可用的機會分析零件,並識別可能在實質上時段內故障的零件。吾人應進一步察知,群組或個別故障前時間排程可以如零件成本、零件更換時間等額外資訊加以補充(於一態樣中為自主性的),以決定在目前維護週期期間更換零件是否對於在將來臨之已排定維修週期中更換該零件有利。應注意,自主性系統1960亦可接收關聯於群組工具2800之操作的諸多成本作為輸入,以計算群組之每輸出產品(例如工件等)的成本、及群組工具2800操作期間生產特定訂單的總成本。在建立成本模型作為個別工具資源28501 至2850K (例如配方)、輸出28601 至2860K 、及維護活動的函數之後,自主性系統1960可以操作成本的漸增次序將個別工具系統28201 至2820K 排序。合併的成本資料資源可用以建構成本對關聯於個別工具系統之資源、輸出和維護活動的預測模型,例如,如此評估可識別實質上影響群組工具之操作或維護的操作資源及變量。於一態樣中,自主性系統1960可利用可得的歷史資料資源,來再設計生產線或場地的設備配置,以使成本最小化。此外,在如此最佳化程序期間,自主性系統1960可依靠關閉諸多工具系統,以利用替代性操作模式。再者,自主性系統1960可利用收支分析來決定其中特定輸出的生產係於無特定昂貴工具系統之輸出的情況下進行的一組取捨情形。
工具系統28201 至2820K 可實質上相同,或可不同(例如工具系統28201 至28203 為步進器,工具2820J 為步進器而2820K 至2820K 為渦輪分子真空泵)。一般而言,同質(例如工具系統相似)與異質(例如工具為相異的)之間的中央差分可在於輸入與輸出量測值(例如量測資源)截然不同。舉例而言,對工具群組或平台2800所關注的關鍵輸出可為D1 CD均勻性,但屬於群組工具或平台2800之一部分的塗層或膜形成系統可能無法提供如此輸出量測值。因此,自主性系統1960可建構用以將工具群組輸出表示為個別工具(例如28201 至2820K )輸出之函數的模型。因此,當群組效能表現劣化時,可將關聯於個別工具之個別效能加以分析以分離在導致效能劣化方面具有最大權重的工具。
圖29說明自主性工具系統的集團部署的圖式。集團系統2910包括一組自主性工具集團29201 至2920Q 。工具集團的每一者可包括同質或異質自主性工具群組,例如可包括自主性製造設施(未顯示) 或一組不同自主性製造設施的一組不同自主性工具群組。舉例而言,工具集團可請求製造平台。吾人應察知,自主性集團29201 至2920Q 典型地可位於不同地理位置。類似的,工廠內的自主性工具群組可鑑於製造程序可包括多個步驟而部署在廠內不同位置。因此,產品輸出鏈2965可促進將已部分製造、處理或分析的產品提供給不同自主性工具集團29201 至2920Q ;如此特徵以雙向箭頭29601 至2960Q 標示,其代表關聯於集團29201 至2920Q 的輸出/輸入。
集團系統2910可由包含互動部件1940、作用者1990及自主性學習系統1960的自主性學習系統加以自主性支持。於一態樣中,自主性支持可指向改善輸出資源(例如輸出2965)之整體製造效果(overall fabrication effectiveness;OFE)度量。此外,自主性工具集團29201 -2920Q 的每一者可因此受到互動部件2930及自主性學習系統2940之自主性支持。互動部件2930促進自主性學習系統2940與作用者29901 至2990Q 之間的互動。如此部件之每一者的功能性實質上與如上相關於系統1960及系統2800而敘述之個別部件的功能性相同。在互動部件2930與自主性系統2940之間通訊的資訊2948I (I=1、2、…、Q)係關聯於個別自主性工具集團2920I 。類似地,傳遞至自主性工具集團2920I 及從自主性工具集團2920I 接收的資源2950I 為自主工具集團2920I 的特性。
為了處置自主性工具集團29101 至2910Q 的效能,可透過利用複合集團指標Ca 識別產品之效能標記將製造程序之多重步驟特性併入,其中指標a指示集團C(例如自主性集團2920Q )內的特定工具群組與運行指標(R);因此,關聯於特定產品之產品品質或效能度量係以標記(Cα;R)加以識別,該標記可取名為「群組層輸出」。如此標記促進將每一自主性作業集團識別為個別部件Ca 。因此,自主性系統1960可將品質與效能度量映射為製造集團(例如自主性工具集團29102 )之函數及各製造集團內之工具群組的函數。後者藉由先識別集團(例如製造設施)然後執行關聯於所評估劣化之工具的分析,而促進不良效能或品質之根本原因分析。吾人應察知,指標Ca 應考量產生於由複數集團工具構成之自主性系統中之輸出資源可從第一集團(N)傳送到第二集團(N’)的事實。因此,追蹤關聯於資源(例如為多重步驟製造程序之一部分)之傳送的效能之複合符號可讀取Ca;N→N’
自主性工具集團之效能可作為產品良率之函數而執行。如此良率係用以將不同集團排序。於一態樣中,自主性學習系統1960可至少部分基於來自各自主性工具或自主性群組工具的輸出資源,而發展出良率模型。舉例而言,對於半導體製造中使用的工具或工具群組而言,良率可表示成基於量測資料偵測到之工件中不合格性的函數。再者,其他良率度量可用以決定良率模型,尤其在包含工具集團系統(例如29201 至2920Q )之自主性學習系統中,其中,輸出資源可傳送於集團之間:整體設備效率(OEE)、循環時間效率、準時傳送率(on-time-delivery rate)、產能利用率、重工率、機械線良率(mechanical line yield)、探測良率(probe yield)及最終測試良率、資源生產量、啟動(startup)或緩升(ramp-up)效能比率(performance rate)等。應注意,支援一組自主性工具集團之操作的自主性系統可自主性識別良率度量之間的關係,以再設計製程,或與作用者19901 至1990Q 溝通與前述良率度量有關之調整。
以上提及的良率函數可透過靜態與動態分析(例如模擬)之組合加以分析,以根據導致特定良率方面之影響程度(或權重)對群組層輸出加以排序。應注意,至少部分基於影響資源輸出或良率方面之影響,在群組層輸出層級將工具、工具群組、或集團排序可供群組或集團自主性學習系統1960透過關聯於群組中工具或集團中群組的每一者,而自主性識別特定工具是否可被分離為良率惡化的主導工具。當發現如此工具時,該群組或集團層級之自主性系統1960可對維護部門發出具有關於將錯誤(可能是效能劣化的候選者)排序之資訊的警報。
此外,最低排名之自主性工具集團的良率可用以識別其於良率之影響上為主導地位的工具群組之群組層輸出。如此工具群組之故障前時間可與不同自主性集團中實質上相同的工具群組相比較,以識別不良效能的原因。再者,自主性工具集團系統將不同工具集團中的特定工具群組內的工具加以排序。應注意,支援並分析自主性工具集團(例如29201 至2920Q )之群組的自主性學習系統,可依據針對各集團所推論之故障前時間將集團之各者加以排序。因為故障前時間可能鑑於例如輸入/輸出資源(例如資源1958)負載隨著操作時間間隔而改變,因此可以特定時間週期(例如每週、每月、每季、每年)更新具有故障前時間預估(projection)的資料庫。
又再者,當識別出對群組工具之不佳效能負有主要責任的個別工具 (例如該工具在群組工具內效能排名最低,舉例而言,最常無法輸出具有例如均勻摻雜濃度或均勻表面反射係數之指定目標品質性質之資源的工具)時,關聯於最低效能工具、或關聯於包含如此不佳效能之工具的集團系統之自主性系統可分析工具之輸出,以識別最顯著影響最低效能群組之輸出的該等輸出。舉例而言,上述以低均勻性輸出資源的工具群組或集團中之工具可能導致可觀百分比(例如60%)的工具群組均勻性變異(例如,起因於不同高品質顯示器塗層之表面反射率之均勻性問題、光學顯示器之表面反射率均勻性改變的變異)。為此,於一態樣中,針對群組中之每一輸出,工具自主性系統建構一函數,將工具輸出表示為工具資源(例如輸入、配方、及製程參數、工具操作員或作用者等)的函數。然後將此模型加以分析,以識別不佳效能的主要原因。應注意,自主性系統可識別群組工具中的最佳效能工具,並分析使該工具具有最佳效能的原因,例如操作期間工具之真空度持續低於群組工具中不同工具的真空度、或磊晶沉積期間最佳效能工具中之晶圓以低於群組工具中執行沉積之不同工具的速度旋轉,因此該工具持續達成較佳的元件品質。在最高排名及最低排名工具的如此因子可與集團系統內其他工具中的相同參數相比較。若該比較指出被識別為最高及最低排名效能之根本原因的因子表現為在工具集團系統各處實質上皆相同,則可發展新模型,且可識別替代性根本原因。如此模型發展及確認的迭代自主性程序可持續直到識別出根本原因並仿效出最佳實作(例如,工具集團2920P 中利用之塗層配方鑑於其使輸出資源效能增加特定期望裕度而在實質上所有工具集團中採用)、且導致低效能的根本原因被減輕(例如放棄特定品牌的塗料,該塗料在噴塗通道之操作溫度下的黏性導致已上色產品之不均勻上色)。工具、工具群組、或工具集團的排序為自主性的,且以實質上相同於單一自主性工具系統(例如系統1960)的方式進行。支援自主性工具集團之操作的自主性系統將如此自主性集團視為單一部件,而不論其內部結構之複雜度,該內部結構可透過關聯於該集團之自主性系統存取及管理。
圖30為說明上述之工具系統或製造平台或處理模組(例如個別自主性工具1960、自主性群組工具2800、及自主性集團工具2900)之類別間的模組性及遞迴耦接的示圖3000。於自主性系統3000中,目標、脈絡、及資源透過繪示為軸向通路的知識網路1975流通,並傳輸至不同的自主性工具系統1960、2800及2900。如此資訊及資源系作用在各自主性系統上,作用可包含新資訊及資源的分析、修改、產生;如此作用係繪示為自主性系統1960、2800、2900之各代表圖的外部區帶上之箭頭。受處理並產生的資源係傳輸至知識網路1975,其中可流通於自主性系統之間。於示圖3000中,資源的處理及產生可表示為方位角性地發生,而資源的溝通為徑向的製程。如示圖3000所示,自主性工具系統係基於以實質上相同方式運作的實質上相同元件。
圖31說明對資源的產生進行鑑別與報告的示範系統3100。包括自主性生物基礎學習系統1960、作用者1990、及相關之互動部件1930的自主性系統3104可接收並傳輸源自N站製程3110的資源1928,並透過後向鏈結評估效能。N站製程係透過一組N個處理站31101 至3110N 而產生作用,該等處理站產生輸出3120且可包含個別自主性工具1960、自主性工具群組2820、或自主性工具集團2920。由於效能評估,自主性系統3108可利用特定效能劣化程度定位處理站31101 至3110N 的工具或工具群組。此外,對於所選定的站,自主性系統3108可提供評估報告、(複數)修復報告、或維護排程。吾人應察知,相異的處理站可執行實質上相同的操作,如此情形將反映其中在資源3115已針對進一步處理而產生且輸送至不同工具或工具群組之後、輸出資源3115返回特定工具、或工具群組以供進一步處理的情況。
在後向鏈結中,導致輸出的動作流程(例如製程流程3130)典型地反向於典型地評估該動作流程之探測流程(例如評估流程3140)。因此,評估通常以從上往下的方式進行,其中評估在特定動作的高層級階段進行(例如已完成的資源輸出3120),並在探索中進行至低層級階段,以於特定作用完成前將評估聚焦於特定階段。當由自主性系統3104所施加時,輸出資源3120係經由處理站N 3110N 接收。如由3146所示,自主性系統3104可至少部分基於預期效能,針對處理站3110N 的實質上所有操作部件(例如工具、群組或集團工具),評價導致特定劣化向量(未顯示)的一組效能度量{P(C) N-1→N }。此外,吾人應察知,在製程流程3130中,輸出資源(例如資源3115)可傳送穿越不同的地理區域,因此由自主性系統3104所評估的劣化向量可包含關聯於導致部分完成之資源3115的程序之傳送中(in-transit)部分的度量。舉例而言,當製程流程3130關於半導體製程時,在某些製程平台中工件可具有較少不合格性或缺陷。當如此評估的(複數)結果3149指出N站輸出3120有誤時,自主性系統3104將關聯於處理站N的故障工具、或工具群組或平台隔離,並產生報告(例如評估報告3150、(複數)維修報告3160、或維護排程3170)。所產生該(等)報告可包含將由一或更多作用者(例如作用者19901 至1990Q )利用的資訊。此外,可儲存報告以針對一或更多製造平台產生特定效能問題(尤其是不常出現的問題)的解決方案(或「修理」)或修正性處理的繼承物,使得作用者的介入相關於自主性發展的解決方案可為較佳,該解決方案典型地可從廣泛的可用資料獲益。再者,報告的可用性可促進故障事件的故障模擬或鑑識分析,其可在至少兩層級減少製造成本:(a)可預測昂貴且不常故障的設備在因具有設備複雜度不相稱之背景的作用者操作設備而引起的罕見狀況下故障,該罕見狀況可由自主性系統1960加以模擬,(b)至少部分基於儲存在評估報告3150及維修報告3160中的歷史資料,透過預測諸多故障事件的零件庫存最佳化。
若處理站N 3110N 的結果3149未產生故障工具或工具平台群組,評估便在產生已部分處理之輸出資源3115的低層級處理站N-1 3110N-1 產生,且為製程循環3130中之一部分,以產生輸出3120,透過一組不同效能度量{P(C) N-2→N-1 }的分析,可提取劣化的程度,且可找出相關的工具或工具群組(例如集團C)。在沒有自主性工具或自主性工具群組或個別自主性工具之故障集團的例子中,自主性系統3104以找出最終輸出3120之不佳效能之源頭的目標而持續後向、由上而下之評估流程3140。
圖32為例示自主性系統3200的方塊圖,該自主性系統3200可分配由工具集團系統自主性產生的輸出資源。在系統3200中,工具集團2920Q 可自主性產生一組輸出資源3210,該等輸出資源3210可為(i)所收集或推論之關於可構成工具集團系統2920Q 之一或更多工具之狀態(包含效能劣化事件)的資訊(例如結構及資料樣式、對於構成自主性工具集團2920Q的類似或相異工具群組中已存在的劣化事件或狀況如同補救方案的所量測變量間之關係…等);或(ii)由前述集團製造的輸出產品。此外,在系統3200中,輸出資源3210可由資源選擇器3220過濾,且被傳遞(或聯絡)至分配部件3230。如此分配部件3230可利用自主性生物基礎學習系統1960的智慧態樣。分配部件3230包含可操控封裝部件3245之管理部件3235及可準備資料的加密部件3255、以及排程器3265和資源監視器3275。封裝部件3245可針對分配製程而準備待分配的資源;如此準備可包含損壞預防與遺失預防。對於資訊(舉例而言,事件記憶3130中的事件,例如因零件規格之外操作而產生之系統不需要的狀況,如溫度超出閾值)或資料資源而言,封裝部件3245可改變特定格式以呈現至少部分相依於待分配資源之預期接收者的資訊。舉例而言,專有資訊可為抽象的,且在不具有具體性的情況下呈現(例如,氣體的外顯名稱可以字詞「氣體」替代,特定參數間的關係可概括至變量之間的關係,如「p(O2 )>10-8 Torr」可被封裝成「p(氣體)>10-8 Torr」)。此外,封裝部件3245可利用加密部件3255以確保資源傳送期間及在預期接收者處的資源回復期間資訊的完整性。
此外,於一態樣中,管理部件3235可存取(i)資源儲存部3283,其典型地包含經排程待分配之資源或已分配的的資源;(ii)夥伴儲存3286部,包含關聯於特定資源之分配或完成的商業夥伴;(iii)顧客儲存部3289,其可包含選定資源已對其分配或可對其分配的目前、過去或未來的顧客;(iv)決策儲存部,其可決定關聯於資源分配的態樣,如授權、顧客支援與關係、資源封裝程序、程序排程、智慧財產權的行使等。吾人應察知,包含在決策儲存部中的資訊可至少部分基於由自主性生物基礎學習系統學習或產生的知識(例如資訊資源)而動態地改變。
一旦資源已封裝且其已加以排程以供分配,便可儲存分配記錄,或若該資源為資料資源,則可儲存該資源的副本。然後,可將該資源傳送至相異的自主性工具集團P 2920P
圖33說明自主性決定、從設計到製造乃至行銷的用於資源(例如已完成產品、半成品、…)之分配步驟範例。六角形單元3310表示特定地理區域(如城市、郡、州、一或更多國家),其中,自主性工具集團的兩類別(例如「圓形」集團3320、3330、3340、3350、及3360,和「方形」集團3365及3375)參與一組產品或資源的製造鏈。(應注意,除了六角形單元之外,該地理區域還可包圍實質上任何範圍區域。)在示範而非作為限制的情形中,資源的製造開始於集團3320,該集團3320可為提供設計給高山運動(例如:滑雪、登山、滑翔降落傘等)之光學管理的訂製固態裝置。設計可存在於來源材料及其組合之光學性質的計算模擬的進行、以及裝置模擬。在如此例子中,集團3320可為大量平行超級電腦,其可在本範例中被解讀為一組自主性工具群組(圖28),其中模擬電腦之網路中的每一電腦被視為一自主性工具群組。集團3320輸出光學裝置的一或更多設計與關聯於該裝置之敘述的一系列報告(例如資料資源)。在適當加密及封裝(例如透過部件)後,如此輸出或資源(未顯示)可經由通訊連結3324(其可為無線連結)傳輸至集團3330。
在非限定的範例中,集團3330可接收資料資源並啟始沉積製程,以根據所接收的資源製造固態裝置。為此,集團3330可與集團3340合作,且二者皆可被視為製造設施,該製造設施可為兩集團自主性集團工具2910的一部分。如此集團可根據所接收的規格資源產生複數裝置,一旦已製造出裝置,可對該裝置進行測試且指定品質與效能度量,如此度量可導致後向鏈結以找出進入集團3330及3340的自主性工具之間的「表現不良者」。透過多重度量的判定,可自主性調整集團3320及3330的操作,以使裝置或輸出資源的生產最佳化。應注意,連結3324指示內部連接,其中集團3330及3340為相同製造廠的一部分;因此資源可在與利用提供交通輸送路徑之連結3324時實質上不同的狀況下傳送。連結3344可用以運送用於在不同地理位置之商業封裝的裝置(如此運送可由有利的封裝成本、熟練的人力、公司租稅誘因等所激發)。吾人應察知,在集團3340處的自主性學習系統可使運送時間(例如經由排程器)及路徑(例如連結3344)最佳化,以確保及時與具有成本效益的運送。在集團3350,資源被封裝,並在集團3360中經由無線連結加以遠端測試。於一態樣中,所測試之裝置及受測試裝置所來自之批次的體積可由集團3360中的自主性系統決定。一旦經包裝之裝置被批准商業化,便透過道路連結3344將資源運送到集團3340,且隨後經由道路連結3370載運到不同類別的集團3375。如此集團可被視為工具群組集團的夥伴供應商、及集團3375、儲存倉庫。如此集團係內部連結至可為用於所接收資源之陳列室的集團3365。
鑑於以上呈現且敘述的例示系統,參考圖34、35、及36之流程圖將更佳地察知可依據所揭示之標的而實施的方法。雖然為了簡化說明之目的,而將方法以一系列的方塊加以顯示及描述,但應理解與察知,所揭示之態樣並非受限於動作的數量及次序,因為一些動作可以不同於在此繪示及敘述者的次序發生及/或與其他方塊同時發生。再者,並非需要所有所示動作來實施下文所述的方法。吾人應察知,關聯於方塊的功能性可由軟體、硬體、其組合或任何其他適合的手段(例如裝置、系統、製程、部件)所實施。此外,吾人應進一步察知,下文及本說明書各處所揭示的方法能被儲存在製品上,以促進將如此方法運輸及傳送至諸多裝置。熟悉本領域者將理解及察知,方法可替代性地表示為例如在狀態圖中之一系列相互關聯的狀態或事件。
圖34呈現利用脈絡目標調整之自主性生物基礎學習的例示方法3400之流程圖。在動作3410建立目標。目標係關聯於用以完成目標或目的之目標部件的功能性的抽象概念。目標可為多領域且跨越諸多部門(例如工業、科學、文化、政治等)。總體而言,動作3410可由可為對於耦接至學習系統(例如調適性推論引擎)之目標部件的外部或外來之作用者執行。鑑於目標的多領域本質,目標部件可為具有多重功能性的工具、裝置或系統,例如執行特定製程的工具系統(例如工具系統1910)、或提供特定結果至一組請求或類似者的裝置。在動作3420接收資料,例如工件的量測資料。如此資料可為內在的,例如在追求目標之目標部件(例如部件1720)中產生的資料。於一態樣中,作為執行特定製程的一部分,具有關聯於量測模組之感測器或探針的一組檢驗系統可收集在調適性智慧部件中接收的資料。所接收資料亦可為外來的,如由作用者(例如作用者1990)傳送的資料,該作用者可為人主體或機器。外來資料可為用以驅動製程或總體而言驅動特定目標之完成的資料。人主體可為工具系統之操作者,且可提供關聯於由工具執行之製程的指令或特定程序。作用者的實例可為執行工具系統、或實質上任何目標部件之模擬的電腦。吾人應察知,工具系統之模擬可用以決定用於工具系統、或用於測試工具之操作之替代性條件的部署參數(例如可能對人主體引起危險的、或成本高昂的操作條件)。所接收的資料可為關聯於特定製程、或總體而言為特定編碼的訓練資料或生產資料。
在進一步的態樣中,所接收的資料可關聯於資料類型,或關聯於程序或功能單元。資料類型為實際資料的高階層抽象概念;例如,在工具系統的退火狀態中,可在退火循環的時段期間將溫度控制在經預調的位準,由工具系統中溫度感測器所量測之溫度值的時間序列可關聯於序列資料類型。功能單元可對應至所接收指令、或處理碼補綴(patch)的儲存庫,該處理碼補綴操控對於工具之操作或分析由工具產生之資料為必要的資料。功能單元可抽象化成有關單元之特定功能性的概念;舉例而言,可將乘法程式碼片段抽象化成乘法概念。可使如此概念多載(overload),因為可使單一概念相依於複數個資料類型,如乘法(序列)、乘法(矩陣)、或乘法(常數、矩陣)。再者,關聯於功能單元的概念可繼承關聯於功能單元的其他概念,例如導數(純量積(向量,向量)),其可說明代表相對一獨立變量的兩向量之純量積之導數的概念。吾人應察知,功能概念係直接類比於本身即為概念的類別。再者,資料類型可關聯於優先順序,且可以根據優先順序存放於語意網路。類似地,功能概念(或自動機器人)亦可關聯於優先順序並存放於相異的語意網路。概念優先順序為動態的,且可促進語意網路的概念活化。
在動作3430,知識係產生自所接收的資料,該所接收的資料可如上所討論在語意網路中表示。知識的產生可由語意網路中的傳播活化完成。如此傳播可由除了分數組合之外另被指派至概念的情況分數來決定。於一態樣中,分數組合可為兩分數的加權加法、或二或更多分數的平均。吾人應察知,取決於工具系統狀況或接收自外部作用者的資訊輸入,可視需要將分數組合的規則加以修改。吾人應察知,優先順序會隨時間推進而衰減,以容許不常活化的概念淘汰,而容許新的概念變得更有相關性。
所產生的知識可為完整資訊;例如,沉積步驟中的穩態壓力是兩獨立變量(例如穩態流量與穩態排氣閥角度)之精確、定義良好的數學函數(例如單值函數,輸入該函數的所有參數皆經確定性地評估,而非隨機或未知)。或者,所產生的知識可代表部分理解;例如,可使蝕刻率可具有對溫度的已知函數相依性(例如指數的相依性),而蝕刻率與溫度之間的特定關係(例如決定函數相依性的精確參數值)為未知。
在動作3440,為了後續用於進一步知識之自主性產生而將所產生的知識儲存。於一態樣中,知識可儲存於記憶體的階層。階層可根據知識在記憶體中之持續性及用於產生額外知識的知識可讀性決定。於一態樣中,階層的第三層可為事件記憶體(例如事件記憶體2130),其中,可收集所接收的資料銘印及知識。在如此記憶體層中,概念的操控並不明顯,記憶體反而作為從工具系統或外部作用者接收到之可得資訊的儲存處。於一態樣中,可將如此記憶體識別為元資料庫(meta database),複數資料類型及程序概念可儲存於該元資料庫中。於第二層中,知識可儲存在短期記憶體中,其中,概念可明顯受操控,且可進行語意網路中的擴散活化。在如此記憶體層中,功能單元或程序概念運作於所接收的資料及概念上,以產生新知識、或學習。第一層記憶體可為長期記憶體(例如LTM 2110),在該長期記憶體中維持知識以供主動利用,而明顯新的知識儲存於此記憶體層。此外,長期記憶體中的知識可由短期記憶體中的功能單元利用。
在動作3450中,利用所產生或儲存的知識。知識可用以(i)藉由識別已儲存知識與新接收資料(見自我察知部件2150)之間的差異來判定目標部件(例如工具系統1910)的劣化程度,其中,所接收的資料可為外來(例如輸入1730)或內在(例如輸出1740的一部分);(ii)例如藉由識別資料樣式或藉由發現變量間之關係(如在自我概念化部件2160中)而將外來或內在資料其中一者或二者特性化,其中該等變量可用以完成所建立的目標;或(iii)產生工具系統的效能分析,該工具系統產生資料(例如自我最佳化部件2170),前述產生效能分析提供針對所預測故障或已存在故障之根本原因以及必要修理的指示,或觸發警報以在工具系統之劣化導致工具故障前實施預防性維護。應注意,所儲存及所產生之知識的利用係受到所接收資料(外來或內在)及後續產生之知識的影響。
動作3460為確認動作,其中可鑑於所產生的知識檢驗目標的完成度。若所建立的目標完成,例示方法3400便可結束。或者,若所建立的目標尚未完成,則可在動作3470審視所建立的目標。於後者,若當前目標待加以修改或調適,方法3400的流程可導致建立新目標;例如,目標調適可基於所產生的知識。若對當前目標將不追求修改,方法3400的流程將返回以產生知識,該知識可用以繼續追求目前所建立的知識。
圖35呈現用於調整關聯於目標部件狀態之概念的狀況分數之例示方法的流程圖3500。在動作3510,判定目標部件之狀態,狀態典型地透過脈絡建立,該脈絡可由諸多資料輸入(例如輸入1730)決定,或透過關聯於輸入且表現特定關係之概念的網路決定。輸入資料有關由目標部件所追求的目標;例如,特定薄膜裝置的塗佈製程配方可視為關聯於目標「絕緣裝置的沉積」的輸入。在動作3520,決定可應用於目標部件之狀態的一組概念。如此概念可為在動作3510中輸入之資料類型的抽象概念,或可為已存於記憶體平台(例如長期記憶體2110、或短期記憶體2120)的概念。總體而言,可作用於敘述性概念(例如不具有功能性部件的概念)的功能概念可朝著達成目標而更頻繁地使用。在動作3530,決定與關聯於目標狀態之一組概念之每一概念的情況分數,一組狀況分數可建立概念利用或應用的階層,該階層可決定目標的動態,如目標調適或次目標產生/隨機化。特定概念的情況分數調適可驅使目標完成以及作為目標適應之一部分的目標空間內之傳播。
圖36呈現透過推論產生知識之例示方法的流程圖3600。在動作3610,使概念關聯於資料類型,並決定該概念的優先順序。優先順序典型地可基於概念的使用機率或概念的權重而決定。如此權重可透過可代表利用概念之容易程度(例如在資料類型上運作的複雜度)的參數之函數(例如加權總和、或幾何平均)決定,如此參數可利用概念的慣量、與概念之適合性參數加以識別,以描述狀態(例如可與該概念有關的鄰近概念數量)。吾人應察知,由於明確時間相依慣量及適合性參數、或由於概念傳播,因此優先順序可為時間相依。時間相依的優先順序可將老化態樣引入特定概念,且因此可透過不再於特定知識情況(例如基於優先順序之知識網路的節點結構)中相關的概念來提升知識的彈性(例如知識(例如,用以追求目標的範例,如用於製備奈米結構裝置的配方)。在動作3620中,針對具優先順序之一組概念建立語意網路。吾人應察知,語意網路可包含複數子網路,其中,該複數網路的各者可將一類別中之概念間的關係特性化。舉例而言,在雙層語意網路中,第一子網路可代表從資料類型導出之概念之間的關係,而第二子網路可包含功能概念(例如計畫器自動機器人或überbot機器人、概念自動機器人)之間的關係,該等功能概念描述可用以根據資料類型改變的操作。在動作3630,將該組優先順序在語意網路上傳播,以作成推論,且因此產生關連於概念之網路的知識。於一態樣中,如此傳播可用以產生針對目標調適的最佳化計畫,或用以預測追求特定目標之系統的故障。
圖37係資源分配之例示方法3700的流程圖。資源可由個別自主性工具、自主性群組工具(例如系統2810)或自主性集團工具系統(例如系統2910)提供。吾人應察知,資源亦可以替代方式產生。在動作3710接收資源。於一態樣中,所接收的資源可為選自由一或更多自主性工具產生之輸出資源的資源。於動作3720,將所接收的資源加以處理以供分配。如以上所討論,資源典型地帶有關聯於在產生資源方面所利用之知識的好處;因此,可以防止競爭對手對資源進行逆向工程的方式來封裝資源。吾人應察知,取決於資源之目的地,可將關聯於該資源的封裝資訊客製化,至少部分基於接收該資源的個體是否為商業夥伴、或顧客、或其他分支、部門、或製造該資源之組織的群組,而傳送不同資訊層級。封裝有該資源的資訊層級可依循特定策略(例如儲存於策略儲存部3292中的策略)。此外,對於資料資源或電腦程式資源,如此資源可於封裝時加密以保持由資源傳遞之資訊的完整性。再者,當依循合適的分配排程時,分配資源之處理的一部分可包含將資源保持於儲存部(例如資源儲存部3283)中。於一態樣中,如此排程可由自主性系統(例如系統2960)最佳化,該自主性系統支持製造或生產待分配之資源的工具系統。
在動作3730,分配已處理的資源。分配典型地取決於資源特徵及特性、以及資源之目的地。舉例而言,資源可在廠房內分配,以完成資源生產,例如在其中未完成載具(例如資源)可運送通過不同組裝階段的組裝線中。類似地,於食品工業中,冷凍肉品(例如資源)係於食品處理廠各處分配。或者或此外,取決於工業,未完成的資源可分配到海外以完成加工,以從成本效益生產市場獲利。
於動作3740,監測已分配的資源,以例如確保資源分配遵循可應用的分配調節,或藉由具有對資源之分配狀態的存取來確保適當的補充庫存。此外,監測資源的分配可減輕損失與傷害,且可促進與商業夥伴及顧客的互動。
在此敘述的諸多態樣或特徵可使用標準程式及/或工程技術實施為方法、設備、或製品。在此使用的詞彙「製品」係意圖涵蓋可從任意電腦可讀取裝置、載體或媒體存取的電腦程式。例如,電腦可讀取媒體可包含但不限定於磁性儲存裝置(例如硬碟、軟碟、磁帶…)、光碟(例如雷射光碟(CD)、數位化多功能光碟(DVD)…)、智慧卡、及快閃記憶裝置(例如記憶卡、記憶棒、隨身碟(key drive)…)。
以上已敘述者包含所請標的之範例。當然,不可能為了敘述所請標的之目的而描述所有可預想到的部件或方法之組合,但所屬領域中具有通常知識者可察知,所請標的之許多進一步組合及置換皆有可能。因此,所請標的意圖包含所有落入隨附請求項之精神及範疇內的如此更改、修飾與變化。再者,針對將詞彙「包含」使用於實施方式或申請專利範圍中而言,如此詞彙係意圖以類似於「包括」的方式而為包括性的,如同「包括」在使用作為請求項中之轉折語時所被解讀一般。
100‧‧‧製造程序
102‧‧‧設計/處理序列
104‧‧‧總箭頭
110‧‧‧沉積製程
112‧‧‧軌道製程
114‧‧‧光微影製程
116‧‧‧軌道製程
118‧‧‧蝕刻製程
120‧‧‧清潔製程
130‧‧‧箭頭
200‧‧‧平台
202‧‧‧計量或量測模組
204‧‧‧計量或量測模組
206‧‧‧計量或量測模組
208‧‧‧主動阻絕控制系統
210‧‧‧沉積模組
212‧‧‧軌道模組
214‧‧‧光微影模組
216‧‧‧軌道模組
218‧‧‧蝕刻模組
220‧‧‧清潔模組
222‧‧‧轉移模組
300‧‧‧平台
302‧‧‧前端模組
304a‧‧‧轉移量測模組
304b‧‧‧轉移量測模組
306a‧‧‧蝕刻模組
306b‧‧‧蝕刻模組
308a‧‧‧沉積模組
308b‧‧‧沉積模組
310a‧‧‧清潔模組
310b‧‧‧清潔模組
312a‧‧‧量測模組
312b‧‧‧量測模組
312c‧‧‧量測模組
312d‧‧‧量測模組
322‧‧‧主動阻絕控制系統
400‧‧‧平台
402‧‧‧前端轉移模組
404a‧‧‧卡匣模組
404b‧‧‧卡匣模組
404c‧‧‧卡匣模組
404d‧‧‧對準模組
406a‧‧‧負載鎖腔室
406b‧‧‧負載鎖腔室
410a‧‧‧負載鎖腔室
410b‧‧‧負載鎖腔室
412‧‧‧工件轉移模組
416‧‧‧量測模組
420a‧‧‧處理模組
420b‧‧‧處理模組
420c‧‧‧處理模組
420d‧‧‧處理模組
422‧‧‧控制系統
500‧‧‧平台
502‧‧‧前端轉移系統
504a‧‧‧卡匣模組
504b‧‧‧卡匣模組
510a‧‧‧負載鎖腔室
510b‧‧‧負載鎖腔室
512‧‧‧轉移模組
513‧‧‧內部空間
514‧‧‧轉移機構
516‧‧‧量測模組
520a‧‧‧處理模組
520b‧‧‧處理模組
520c‧‧‧處理模組
520d‧‧‧處理模組
522‧‧‧控制系統
530‧‧‧檢驗系統
531‧‧‧檢驗系統
532‧‧‧訊號源
532a‧‧‧訊號源
532b‧‧‧訊號源
532c‧‧‧訊號源
534‧‧‧訊號
535‧‧‧訊號
536‧‧‧工件
538‧‧‧支撐機構
539‧‧‧表面
540‧‧‧偵測器
540a‧‧‧偵測器元件
540b‧‧‧偵測器元件
540c‧‧‧偵測器元件
541‧‧‧感測器
543‧‧‧機構
550‧‧‧量測資料
552‧‧‧閘閥
554‧‧‧方塊
556‧‧‧方塊
558‧‧‧方塊
600‧‧‧平台
612‧‧‧轉移模組
613‧‧‧轉移腔室
614‧‧‧轉移機構
616‧‧‧量測模組
620e‧‧‧處理模組
630‧‧‧檢驗系統
632‧‧‧訊號源
634‧‧‧訊號
635‧‧‧訊號
636‧‧‧工件
638‧‧‧支撐機構
639‧‧‧升降機構
640‧‧‧偵測器
652‧‧‧埠
700‧‧‧平台
712‧‧‧轉移量測模組/TMM
713‧‧‧轉移腔室
714‧‧‧轉移機構
715‧‧‧量測區域
720a‧‧‧處理模組
720b‧‧‧處理模組
720c‧‧‧處理模組
720d‧‧‧處理模組
720e‧‧‧處理模組
730‧‧‧檢驗系統
732‧‧‧訊號源
734‧‧‧訊號
735‧‧‧訊號
736‧‧‧工件
738‧‧‧支撐機構
740‧‧‧偵測器
750‧‧‧埠
770‧‧‧支撐平台
772‧‧‧固持器
774‧‧‧加熱器元件
776‧‧‧轉子元件
778‧‧‧配接器
780‧‧‧平移機構/平移桿
782‧‧‧安裝元件
790‧‧‧定子元件
792‧‧‧基部元件
794‧‧‧平移機構
800‧‧‧平台
812a‧‧‧轉移量測模組
812b‧‧‧轉移模組
813‧‧‧轉移腔室
814‧‧‧轉移機構
815‧‧‧量測區域
820a‧‧‧處理模組
820b‧‧‧處理模組
820c‧‧‧處理模組
820d‧‧‧處理模組
820e‧‧‧處理模組
820f‧‧‧處理模組
830‧‧‧穿越腔室
832‧‧‧內部空間
900‧‧‧平台
912‧‧‧轉移模組
913‧‧‧轉移腔室
914‧‧‧轉移機構
915‧‧‧區域
917‧‧‧水平平面
919‧‧‧轉移埠
920a‧‧‧處理模組
920b‧‧‧處理模組
920c‧‧‧處理模組
920d‧‧‧處理模組
920e‧‧‧處理模組
930‧‧‧檢驗系統
932‧‧‧訊號源
934‧‧‧訊號
935‧‧‧訊號
940‧‧‧影像擷取裝置
950‧‧‧孔
1000‧‧‧平台
1000a‧‧‧平台
1001‧‧‧前端模組
1002‧‧‧真空腔室
1004‧‧‧埠
1010‧‧‧內真空腔室/TMM
1012‧‧‧穿越埠
1014‧‧‧轉移機構
1030‧‧‧沉積模組
1032‧‧‧蝕刻模組
1034‧‧‧清潔模組
1034a‧‧‧濕式清潔模組
1034b‧‧‧乾式清潔模組
1036‧‧‧量測模組
1038‧‧‧處理模組
1040‧‧‧控制系統
1050‧‧‧檢驗系統
1060‧‧‧批次處理模組
1070‧‧‧分階收納站
1071‧‧‧模組
1072‧‧‧分階收納站
1073‧‧‧腔室
1074‧‧‧液體分配系統
1076‧‧‧RF電源
1078‧‧‧液體源起泡器
1080‧‧‧濺鍍靶材
1081a‧‧‧氣體源
1081b‧‧‧氣體源
1082‧‧‧蝕刻模組
1083‧‧‧蝕刻腔室
1084‧‧‧電源
1085a‧‧‧氣相分佈系統
1085b‧‧‧氣相分佈系統
1086‧‧‧氣相分佈系統
1088‧‧‧清潔模組
1089‧‧‧清潔腔室
1090‧‧‧噴霧嘴
1092‧‧‧低溫冷卻系統
1110‧‧‧主動阻絕控制系統
1120‧‧‧部件
1122‧‧‧圖案辨識引擎
1124‧‧‧深度學習引擎
1126‧‧‧相關性引擎
1128‧‧‧已學習屬性
1130‧‧‧自主性學習引擎
1132‧‧‧資料庫
1134‧‧‧製程序列/配方
1136‧‧‧量測資料
1137‧‧‧互動部件
1138‧‧‧製程參數資料
1140‧‧‧平台效能資料
1210‧‧‧設備/電腦
1212‧‧‧處理器
1214‧‧‧記憶體
1216‧‧‧資料庫
1218‧‧‧操作系統
1220‧‧‧應用部
1222‧‧‧資料結構
1224‧‧‧人機介面/HMI
1226‧‧‧網路介面
1230‧‧‧資源部
1232‧‧‧網路
1240‧‧‧製造平台
1300‧‧‧基板
1302‧‧‧基底層
1304‧‧‧層
1306‧‧‧層
1308‧‧‧自對準單層/SAM
1310‧‧‧膜
1312‧‧‧核
1400‧‧‧製程序列
1402‧‧‧步驟
1404‧‧‧步驟
1405‧‧‧步驟
1406‧‧‧步驟
1408‧‧‧步驟
1409‧‧‧步驟
1410‧‧‧步驟
1412‧‧‧步驟
1413‧‧‧步驟
1414‧‧‧步驟
1416‧‧‧步驟
1417‧‧‧步驟
1418‧‧‧步驟
1420‧‧‧步驟
1421‧‧‧步驟
1422‧‧‧步驟
1430‧‧‧製程流程
1432‧‧‧操作
1434‧‧‧操作
1436‧‧‧操作
1438‧‧‧操作
1440‧‧‧判定
1442‧‧‧操作
1450‧‧‧操作
1452‧‧‧操作
1454‧‧‧操作
1456‧‧‧操作
1460‧‧‧製程流程
1462‧‧‧操作
1464‧‧‧操作
1466‧‧‧操作
1468‧‧‧操作
1470‧‧‧操作
1472‧‧‧操作
1474‧‧‧操作
1476‧‧‧操作
1478‧‧‧操作
1480‧‧‧操作
1482‧‧‧操作
1484‧‧‧操作
1486‧‧‧操作
1488‧‧‧操作
1490‧‧‧操作
1492‧‧‧操作
1500‧‧‧製程流程
1502‧‧‧步驟
1506‧‧‧步驟
1508‧‧‧步驟
1510‧‧‧步驟
1600‧‧‧步驟
1602‧‧‧步驟
1606‧‧‧步驟
1700‧‧‧系統
1710‧‧‧調適性推論引擎
1715‧‧‧連結
1720‧‧‧目標部件
1730‧‧‧輸入
1740‧‧‧輸出
1750‧‧‧資料儲存部
1755‧‧‧連結
1765‧‧‧連結
1800‧‧‧圖解
18101-1810N‧‧‧目標
18201-1820N‧‧‧目標
18301-1830N-1‧‧‧目標
1900‧‧‧工具
1910‧‧‧工具系統
1915‧‧‧功能性部件
1925‧‧‧感測器部件
1928‧‧‧資源
1930‧‧‧互動部件
1935‧‧‧配接器部件
1945‧‧‧互動管理器
1955‧‧‧資料庫
1958‧‧‧資訊
1960‧‧‧學習系統
1965‧‧‧記憶體平台
1975‧‧‧知識網路
1985‧‧‧處理平台
1990‧‧‧作用者
2000‧‧‧工具系統
2100‧‧‧架構
2110‧‧‧長期記憶體
2120‧‧‧短期記憶體
2130‧‧‧事件記憶體
2140‧‧‧自動機器人部件
2150‧‧‧自我察知部件
2152‧‧‧第一前授迴路
2158‧‧‧第一回授迴路
2160‧‧‧自我概念化部件
2162‧‧‧第二前授迴路
2168‧‧‧第二回授迴路
2170‧‧‧自我最佳化部件
2180‧‧‧計畫器部件
2190‧‧‧系統脈絡部件
22151-2215N‧‧‧自動機器人
22251-2225N‧‧‧優先順序
2250‧‧‧架構
2260‧‧‧自動機器人
2263‧‧‧功能性部件
2266‧‧‧處理器
2269‧‧‧內部記憶體
2275‧‧‧介面
2300‧‧‧架構
2310‧‧‧察知工作記憶體/AWM
2320‧‧‧察知感測記憶體/ASM
2330‧‧‧察知知識記憶體/AKM
2350‧‧‧計畫器部件
2360‧‧‧察知排程配接器
2400‧‧‧示圖
2415‧‧‧計量器
2425‧‧‧預期引擎/自動機器人
2435‧‧‧意外分數產生器
2445‧‧‧概述產生器
2500‧‧‧實施例
2510‧‧‧概念化知識記憶體/CKM
2520‧‧‧調適性概念化模板記憶體/ACTM
2530‧‧‧概念化目標記憶體/CGM
2540‧‧‧概念化工作記憶體/CWM
2545‧‧‧概念化引擎
2560‧‧‧記憶體
2600‧‧‧實施例
2610‧‧‧最佳化知識記憶體/OKM
2620‧‧‧最佳化工作記憶體/OWM
2650‧‧‧最佳化計畫器部件
2660‧‧‧預言部件
2700‧‧‧因果圖/相依圖
2710‧‧‧函數
2720‧‧‧比較器
2730‧‧‧通過/故障
2780‧‧‧示圖
2795A‧‧‧比較器
2795B‧‧‧比較器
2798A‧‧‧結果
2798B‧‧‧結果
2800‧‧‧方塊圖
2810‧‧‧群組
2812‧‧‧群組部署
28201-2820K‧‧‧工具
2840‧‧‧互動部件
28481-2848K‧‧‧資訊
28501-2850K‧‧‧資源
28601-2860K‧‧‧輸出
2865‧‧‧輸出
2900‧‧‧系統
2910‧‧‧集團系統
29201-2920Q‧‧‧集團
2930‧‧‧互動部件
2940‧‧‧自主性學習系統
2948I‧‧‧資訊
2950I‧‧‧資源
29601-2960Q‧‧‧箭頭
2965‧‧‧產品輸出鏈
29901-2990Q‧‧‧作用者
3000‧‧‧示圖
3100‧‧‧系統
3104‧‧‧自主性系統
3108‧‧‧自主性系統
3110‧‧‧N站製程
31101-3110N‧‧‧處理站
3115‧‧‧輸出資源
3120‧‧‧輸出
3130‧‧‧製程流程
3140‧‧‧評估流程
3149‧‧‧結果
3150‧‧‧評估報告
3160‧‧‧維修報告
3170‧‧‧維護排程
3200‧‧‧系統
3210‧‧‧輸出資源
3220‧‧‧資源選擇器
3230‧‧‧分配部件
3235‧‧‧管理部件
3245‧‧‧封裝部件
3255‧‧‧加密部件
3265‧‧‧排程器
3275‧‧‧資源監視器
3283‧‧‧資源儲存部
3286‧‧‧夥伴儲存部
3289‧‧‧顧客儲存部
3292‧‧‧策略儲存部
3310‧‧‧單元
3320、3330、3340、3350、3360、3365、3375‧‧‧集團
3324‧‧‧連結
3344‧‧‧連結
3400‧‧‧方法
3410‧‧‧動作
3420‧‧‧動作
3430‧‧‧動作
3440‧‧‧動作
3450‧‧‧動作
3460‧‧‧動作
3500‧‧‧流程圖
3510‧‧‧動作
3520‧‧‧動作
3530‧‧‧動作
3600‧‧‧流程圖
3610‧‧‧動作
3620‧‧‧動作
3630‧‧‧動作
3700‧‧‧方法
3710‧‧‧動作
3720‧‧‧動作
3730‧‧‧動作
3740‧‧‧動作
參照以下詳細說明、尤其在結合隨附圖式而考量時,對本發明之實施例及其許多伴隨優點的更完整理解將變得顯而易見,在隨附圖式中:
圖1為用於實施本發明之半導體製造程序流程的示意圖。
圖2為用於實施本發明之實施例之半導體製造程序流程的示意圖。
圖3為依據本發明之實施例之半導體製造平台的示意圖。
圖4為依據本發明之實施例的結合製程及量測模組之共用平台的俯視圖。
圖5A為依據本發明之另一實施例的結合製程及量測模組之共用平台的俯視圖。
圖5B為依據本發明之實施例,結合於共用平台中之量測模組的局部剖面側視圖。
圖5C為依據本發明之另一實施例,結合於共用平台中之量測模組的局部剖面側視圖。
圖5D為依據本發明之另一實施例,結合於共用平台中之量測模組的局部剖面側視圖。
圖5E為依據本發明之實施例之檢驗系統的俯視概圖。
圖5F為依據本發明之另一實施例,結合於共用平台中之量測模組的局部剖面側視圖。
圖6A為依據本發明之另一實施例的結合製程及量測模組之共用平台的俯視圖。
圖6B為依據本發明之實施例,結合於共用平台中之量測模組的局部剖面側視圖。
圖7A為依據本發明之另一實施例的結合製程及轉移量測模組之共用平台的俯視圖。
圖7B為依據本發明之實施例,結合於共用平台中之轉移量測模組的局部剖面側視圖。
圖7C為依據本發明之另一實施例,結合於共用平台中之轉移量測模組的局部剖面側視圖。
圖7D為依據本發明之實施例之工件轉移機構的俯視圖。
圖7E為圖7D之工件轉移機構的側視圖。
圖7F及7G為依據本發明之實施例,用於量測模組中之檢驗系統的示意圖。
圖7H及7I分別為依據本發明之實施例,用於工件量測之支撐平台的立體圖及側剖視圖。
圖8為依據本發明之實施例的半導體製造平台之示意圖。
圖8A為依據本發明之實施例的結合製程及轉移量測模組之共用平台的俯視圖。
圖8B為依據本發明之另一實施例的結合製程及轉移量測模組之共用平台的俯視圖。
圖9為依據本發明之另一實施例的結合製程及轉移量測模組之共用平台的俯視圖。
圖9A及9B為依據本發明之另一實施例,結合於共用平台中之轉移量測模組的局部剖面側視圖。
圖10A為依據本發明之實施例之半導體製造平台的示意圖。
圖10B為依據本發明之另一實施例之半導體製造平台的示意圖。
圖10C為依據本發明之實施例,用於半導體製造中之處理模組的示意圖。
圖10D為依據本發明之實施例,用於半導體製造中之處理模組的示意圖。
圖10E為依據本發明之實施例,用於半導體製造中之處理模組的示意圖。
圖11為依據本發明之實施例之主動阻絕控制系統及部件的示意方塊圖。
圖12為依據本發明之實施例,實施阻絕控制系統之電腦系統的示意方塊圖。
圖13A-13E顯示依據本發明之實施例,具有區域型選擇性膜形成之工件的示意剖面圖。
圖14為依據本發明之實施例,用於執行整合式工件處理、量測/計量及主動阻絕的程序流程圖。
圖14A為依據本發明之實施例,用於執行整合式工件處理、量測/計量及主動阻絕的程序流程圖。
圖14B為依據本發明之實施例,用於執行整合式工件處理、量測/計量及主動阻絕的程序流程圖。
圖15為依據本發明之實施例,用於執行量測及分析以提供主動阻絕的流程圖。
圖16為主動阻絕之選擇性路徑的流程圖。
圖17顯示自主性生物基礎學習工具的高階方塊圖。
圖18為依據在此所述態樣繪示脈絡目標調適的圖解。
圖19顯示例示自主性生物基礎學習工具的高階方塊圖。
圖20為可利用自主性生物基礎學習系統之半導體製造用例示工具系統的圖解。
圖21顯示自主性生物基礎學習系統之例示架構的高階方塊圖。
圖22A及22B分別顯示例示自動機器人(autobot)部件及例示自動機器人架構。
圖23顯示自主性生物基礎學習系統之自我察知部件的例示架構。
圖24為依據在此所述態樣,運作於察知工作記憶體中之例示自動機器人的圖解。
圖25顯示 自主性生物基礎學習系統之自我概念化部件的例示實施例。
圖26顯示自主性生物基礎學習系統中之自我最佳化部件的例示實施例。
圖27A及27B分別顯示依據標的揭示內容之態樣而產生之具有單一預測比較器及兩配方比較器的相依圖。
圖28顯示依據在此所述態樣之自主性生物基礎學習工具系統的例示群組部署之圖解。
圖29顯示依據在此所述態樣之自主性工具系統的集團部署之圖解。
圖30顯示本文所述自主性工具系統的模組式及遞迴耦接特性。
圖31顯示依據在此所述態樣之評定並報告多站製程以供資源(asset)生成的例示系統。
圖32為依據在此所述態樣之例示自主性系統的方塊圖,該例示自主性系統可分配由工具集團系統自主產生之輸出資源。
圖33顯示針對資源(例如已完成之產品、部分完成之產品…)從設計至製造乃至銷售的自主性決定分配步驟之範例。
圖34呈現依據在此所述態樣之生物基礎自主性學習之例示方法的流程圖。
圖35呈現依據本文所述態樣之調整一概念之情況分數(situation score)之例示方法的流程圖。
圖36呈現依據在此所述態樣的產生知識之例示方法的流程圖。
圖37呈現依據在此所述態樣的資源分配之例示方法的流程圖。

Claims (27)

  1. 一種製造系統的使用方法,包含: 透過設置在一共用製造平台上的複數個處理模組處理一工件,該工件包含複數個電子元件,其中該處理包含執行一製程序列,該製程序列具有至少一膜形成製程及至少一蝕刻製程或膜處理製程; 在該共用製造平台上收集該工件的製造量測資料,其中該製造量測資料為基於在該共用製造平台上執行之該製程序列之至少一部分的該工件之屬性的量測; 從在該等處理模組其中至少一者中受處理的工件收集原位製程量測資料,該等處理模組其中該至少一者正執行該製程序列之至少一部份的製程; 基於所收集的該製造量測資料或該原位製程量測資料的其中至少一者,偵測該工件的不合格性;及 在已收集量測資料之後,當偵測到不合格性時,對該製程序列執行主動阻絕,以在該共用製造平台上之該製程序列中執行該工件的修正性處理。
  2. 如申請專利範圍第1項之製造系統的使用方法,其中該修正性處理包含下列之至少一者:改變該共用製造平台上之處理模組中的處理、或捨棄該工件、或將該不合格性通知操作者。
  3. 如申請專利範圍第2項之製造系統的使用方法,其中該原位製程量測資料的收集係於該製程序列之製程步驟期間原位發生在該處理模組中。
  4. 如申請專利範圍第3項之製造系統的使用方法,其中該修正性處理係原位發生在與獲取該原位製程量測資料處相同的處理模組中。
  5. 如申請專利範圍第1項之製造系統的使用方法,其中該製造量測資料的收集係發生在異於該處理模組處、且在該共用製造平台內。
  6. 如申請專利範圍第3項之製造系統的使用方法,其中該修正性處理係發生在異於該製程量測資料被收集之處理模組處、且在該共用製造平台內。
  7. 如申請專利範圍第1項之製造系統的使用方法,更包含: 收集關於一或更多處理模組之操作之製程參數資料或關於該共用製造平台之操作之平台效能資料的其中至少一者; 基於製造量測資料、該製程參數資料、或該平台效能資料的至少其中一者,對後續工件之製程序列執行主動阻絕。
  8. 如申請專利範圍第1項之製造系統的使用方法,其中對製程序列的主動阻絕包含將該工件暴露至補救製程序列,以修正該不合格性。
  9. 如申請專利範圍第8項之製造系統的使用方法,其中該補救製程序列包含清潔該工件、從該工件移除膜、或從該工件移除該膜之一部分的至少其中一者。
  10. 如申請專利範圍第8項之製造系統的使用方法,其中該補救製程序列係於該共用製造平台上執行。
  11. 如申請專利範圍第9項之製造系統的使用方法,其中該補救製程序列係於該共用製造平台之外執行。
  12. 如申請專利範圍第8項之製造系統的使用方法,其中該補救製程序列包含使用低溫冷卻噴霧清潔該工件。
  13. 如申請專利範圍第1項之製造系統的使用方法,其中對製程序列的主動阻絕包含將該工件暴露至調整製程序列,以修改所偵測到的該不合格性。
  14. 如申請專利範圍第13項之製造系統的使用方法,其中該調整製程序列包含至少部分基於製造量測資料或原位製程量測資料控制一或更多製程條件,不合格性係從該製造量測資料或該原位製程量測資料偵測到。
  15. 如申請專利範圍第13項之製造系統的使用方法,其中該調整製程序列包含至少部分基於對應至該不合格性之修正的模型,控制處理模組的一或更多製程條件。
  16. 如申請專利範圍第13項之製造系統的使用方法,其中該調整製程序列包含在一膜形成製程、一蝕刻製程、或一膜處理製程之間交替。
  17. 如申請專利範圍第1項之製造系統的使用方法,更包含在執行主動阻絕之後收集該工件的製造量測資料,以判定該不合格性上基於該主動阻絕的影響。
  18. 如申請專利範圍第17項之製造系統的使用方法,更包含基於所判定之該不合格性上的影響,繼續針對工件的該製程序列。
  19. 如申請專利範圍第1項之製造系統的使用方法,其中該製造量測資料為關聯於包含下列之一或更多者的屬性之量測:層厚度、層保形性、層覆蓋率、層輪廓、邊緣放置位置、邊緣放置誤差(EPE)、臨界尺寸(CD)、塊體臨界尺寸(CD)、柵極臨界尺寸(CD)、線寬粗糙度(LWR)、線緣粗糙度(LER)、塊體LWR、柵極LWR、關於選擇性沉積的性質、關於選擇性蝕刻的性質、物理性質、光學性質、電性質、折射率、電阻、電流、電壓、溫度、質量、速度、加速度、或關聯於該工件上製造之電子元件的其組合。
  20. 如申請專利範圍第7項之製造系統的使用方法,其中該製程參數資料包含該等處理模組中執行之一或更多製程條件的示值。
  21. 如申請專利範圍第20項之製造系統的使用方法,其中該製程條件係基於電漿密度、電漿均勻性、電漿溫度、蝕刻速率、蝕刻均勻性、沉積速率、及/或沉積均勻性的至少一者。
  22. 如申請專利範圍第20項之製造系統的使用方法,其中該製程條件包含施加至設置在該處理模組內之電漿源的能量之振幅、頻率、調變的其中至少一者。
  23. 如申請專利範圍第20項之製造系統的使用方法,其中該製程條件包含該製程序列期間流至該處理模組中的氣體流率。
  24. 如申請專利範圍第20項之製造系統的使用方法,其中該製程條件包含設置在該處理模組內之一工件固持器的溫度。
  25. 如申請專利範圍第20項之製造系統的使用方法,其中該製程條件包含該製程序列期間之該處理模組中的壓力。
  26. 如申請專利範圍第20項之製造系統的使用方法,其中該平台效能資料包含有助於該製程序列之執行之平台屬性的示值、或處理模組已暴露於該製程序列之時間長短的示值之其中至少一者。
  27. 如申請專利範圍第26項之製造系統的使用方法,其中該平台屬性包含製程冷卻水溫度、製程冷卻水流率、處理模組處理時間、處理模組累積厚度。
TW108109299A 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法 TWI794451B (zh)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201962787607P 2019-01-02 2019-01-02
US201962787608P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04

Publications (2)

Publication Number Publication Date
TW201941328A true TW201941328A (zh) 2019-10-16
TWI794451B TWI794451B (zh) 2023-03-01

Family

ID=67983243

Family Applications (5)

Application Number Title Priority Date Filing Date
TW108109423A TW202004993A (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法
TW108109263A TW201946188A (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109299A TWI794451B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TW108109418A TWI813647B (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法
TW108109298A TWI815870B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
TW108109423A TW202004993A (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法
TW108109263A TW201946188A (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW108109418A TWI813647B (zh) 2018-03-20 2019-03-19 用於整合型頭尾相接式完全自對準內連線製程之操作站台及方法
TW108109298A TWI815870B (zh) 2018-03-20 2019-03-19 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法

Country Status (7)

Country Link
US (9) US20200083070A1 (zh)
JP (1) JP7348440B2 (zh)
KR (3) KR20200123480A (zh)
CN (1) CN112074940A (zh)
SG (1) SG11202009105YA (zh)
TW (5) TW202004993A (zh)
WO (2) WO2019182913A1 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI718945B (zh) * 2020-05-12 2021-02-11 國立彰化師範大學 主動式恆定施力感測控制系統
TWI724888B (zh) * 2020-05-05 2021-04-11 崑山科技大學 磁浮系統之深度學習比例微分控制方法
TWI771946B (zh) * 2020-03-31 2022-07-21 日商日立全球先端科技股份有限公司 帶電粒子線裝置
TWI780764B (zh) * 2020-06-15 2022-10-11 日商日立全球先端科技股份有限公司 裝置診斷裝置、裝置診斷方法、電漿處理裝置及半導體裝置製造系統
TWI783368B (zh) * 2020-03-30 2022-11-11 日商日立全球先端科技股份有限公司 診斷系統
TWI787757B (zh) * 2021-03-15 2022-12-21 高聖精密機電股份有限公司 智能加工系統及其加工方法
TWI794756B (zh) * 2020-02-28 2023-03-01 美商奈米創尼克影像公司 用於智慧地模仿工廠控制系統及模擬回應資料之方法、系統及設備
TWI798010B (zh) * 2021-03-02 2023-04-01 日商東京精密股份有限公司 粒子測量裝置、三維形狀測定裝置、探針器裝置、粒子測量系統及粒子測量方法
US11663327B2 (en) 2020-02-28 2023-05-30 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
TWI819318B (zh) * 2021-06-17 2023-10-21 台達電子工業股份有限公司 機台監控裝置以及方法
TWI819578B (zh) * 2022-04-20 2023-10-21 國立中央大學 多目標參數最佳化系統、方法及電腦程式產品
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11889740B2 (en) 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016123865A1 (de) * 2016-12-08 2018-06-14 Schott Ag Verfahren zum Weiterverarbeiten eines Glasrohr-Halbzeugs einschließlich einer thermischen Umformung
DE102016124833A1 (de) 2016-12-19 2018-06-21 Schott Ag Verfahren zum Herstellen eines Hohlglasprodukts aus einem Glasrohr-Halbzeug mit Markierungen, sowie Verwendungen hiervon
WO2019146456A1 (ja) * 2018-01-26 2019-08-01 東京エレクトロン株式会社 基板処理装置
JP7348440B2 (ja) 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
US11031287B2 (en) * 2018-06-27 2021-06-08 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
KR102635828B1 (ko) 2018-09-20 2024-02-15 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11681929B2 (en) * 2018-10-02 2023-06-20 Honeywell International Inc. Methods and systems for predicting a remaining useful life of a component using an accelerated failure time model
US11335596B2 (en) * 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
US11366457B1 (en) * 2018-11-16 2022-06-21 On-Time.Ai, Inc. Controling operation of machine tools using artificial intelligence
JP7304692B2 (ja) * 2018-12-13 2023-07-07 東京エレクトロン株式会社 基板処理方法および基板処理装置
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
CN113614809B (zh) * 2019-03-27 2023-03-14 三菱电机株式会社 车辆控制用运算装置、车辆控制装置及车辆控制用运算方法
US11156991B2 (en) 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
JP6956147B2 (ja) * 2019-07-23 2021-10-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US20210103221A1 (en) * 2019-10-08 2021-04-08 International Business Machines Corporation Tool control using multistage lstm for predicting on-wafer measurements
JP2023507105A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド チャンバ部品の表面プロファイリング及びテクスチャリング
TWI740313B (zh) * 2019-12-18 2021-09-21 新加坡商鴻運科股份有限公司 虛擬量測方法、裝置及電腦可讀存儲介質
KR20210081729A (ko) * 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 반도체 테스트 시스템 및 방법
WO2021138132A1 (en) * 2019-12-30 2021-07-08 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
US11515203B2 (en) * 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11415971B2 (en) 2020-02-10 2022-08-16 Globalwafers Co., Ltd. Systems and methods for enhanced wafer manufacturing
CN115210744A (zh) * 2020-03-09 2022-10-18 纳米电子成像有限公司 用于制造过程的系统、方法和介质
US11939665B2 (en) * 2020-03-10 2024-03-26 Tokyo Electron Limted Film thickness measuring apparatus and film thickness measuring method, and film forming system and film forming method
US11221300B2 (en) * 2020-03-20 2022-01-11 KLA Corp. Determining metrology-like information for a specimen using an inspection tool
JP7230877B2 (ja) * 2020-04-20 2023-03-01 株式会社Sumco エピタキシャルウェーハの製造システム及びエピタキシャルウェーハの製造方法
US11450506B2 (en) * 2020-04-24 2022-09-20 Tel Manufacturing And Engineering Of America, Inc. Pattern enhancement using a gas cluster ion beam
CN111614587B (zh) * 2020-05-25 2021-04-06 齐鲁工业大学 一种基于自适应集成深度学习模型的sc-fde系统信号检测方法
EP4160660A4 (en) * 2020-06-01 2024-03-20 Shinetsu Handotai Kk METHOD FOR EVALUATION OF THE OUTER PERIPHERAL DISTORTION OF A WAFER
JP2023529480A (ja) * 2020-06-12 2023-07-10 ザ ガバメント オブ ザ ユナイテッド ステイツ オブ アメリカ,アズ リプレゼンテッド バイ ザ セクレタリー オブ ザ ネイビー Iii-nデバイスの性能および歩留まりを評価するための表面プロファイルマッピング
US11688616B2 (en) * 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
TW202229581A (zh) * 2020-08-28 2022-08-01 日商東京威力科創股份有限公司 成膜裝置、及具有含矽的膜之部件的製造方法
JP7203070B2 (ja) * 2020-09-23 2023-01-12 株式会社Kokusai Electric 基板処理装置、基板処理方法及び半導体装置の製造方法
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process
US20220178845A1 (en) * 2020-12-07 2022-06-09 Nanya Technology Corporation Electronic system and method of specimen qualification
US20220199544A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Cap structure for interconnect dielectrics and methods of fabrication
CN112579512B (zh) * 2020-12-24 2023-04-18 中国航空工业集团公司西安航空计算技术研究所 一种机载嵌入式智能微处理系统
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备
US11709477B2 (en) * 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
CN112750738B (zh) * 2021-01-18 2024-02-23 中国电子科技集团公司第四十八研究所 一种离子束刻蚀设备及其刻蚀方法
CN112420543B (zh) * 2021-01-22 2021-04-16 山东元旭光电股份有限公司 一种晶圆自动检测线
US20220236051A1 (en) * 2021-01-25 2022-07-28 Changxin Memory Technologies, Inc. Method for detecting etching defects of etching equipment
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US20220258304A1 (en) * 2021-02-16 2022-08-18 Applied Materials, Inc. Methods and apparatus for processing a substrate
TW202242958A (zh) * 2021-03-02 2022-11-01 日商東京威力科創股份有限公司 資料收集系統、資料收集裝置、資料收集方法及資料收集程式
JP7366952B2 (ja) * 2021-03-23 2023-10-23 芝浦メカトロニクス株式会社 プラズマ処理装置の検査方法
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
TWI788855B (zh) * 2021-05-25 2023-01-01 旺宏電子股份有限公司 偵測系統
KR102545754B1 (ko) * 2021-05-27 2023-06-20 세메스 주식회사 기판 처리 장치 및 기판 상태 검출 방법
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using
CN113253037B (zh) * 2021-06-22 2021-10-08 北京赛博联物科技有限公司 基于电流波纹的边云协同设备状态监测方法及系统、介质
CN115599620A (zh) * 2021-06-28 2023-01-13 深圳富桂精密工业有限公司(Cn) 监控告警方法及终端设备
CN113538392B (zh) * 2021-07-26 2022-11-11 长江存储科技有限责任公司 晶圆的检测方法、设备及存储介质
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
US11983476B2 (en) * 2021-07-30 2024-05-14 International Business Machines Corporation Technology-independent line end routing
US11966682B2 (en) 2021-07-30 2024-04-23 International Business Machines Corporation Fast independent checker for extreme ultraviolet (EUV) routing
IL310738A (en) * 2021-08-26 2024-04-01 Asml Netherlands Bv A method for determining a measuring recipe and related devices
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
CN113705487B (zh) * 2021-08-31 2023-08-08 西南交通大学 精密工件识别及工艺参数关联系统以及识别方法
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot
US20230080707A1 (en) * 2021-09-13 2023-03-16 Advanced Energy Industries, Inc. Model reference adaptive control with signum projection tensor operations
US11866831B2 (en) 2021-11-09 2024-01-09 Tokyo Electron Limited Methods for wet atomic layer etching of copper
JP7200346B1 (ja) 2021-12-22 2023-01-06 Sppテクノロジーズ株式会社 プログラム、情報処理方法、情報処理装置及びモデルの生成方法
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers
US20230341841A1 (en) * 2022-04-24 2023-10-26 Applied Materials, Inc. Bayesian decomposition for mismatched performances in semiconductor equipment
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
US20230378006A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. In-situ integrated wafer parameter detection system
CN114817077B (zh) * 2022-06-27 2022-09-06 云账户技术(天津)有限公司 测试质量及规范的判断方法、系统和网络设备
WO2024091865A1 (en) * 2022-10-24 2024-05-02 Ohio State Innovation Foundation Method for backward failure propagation in conceptual system design

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5963315A (en) * 1997-08-18 1999-10-05 Motorola, Inc. Method and apparatus for processing a semiconductor wafer on a robotic track having access to in situ wafer backside particle detection
JPH11307604A (ja) * 1998-04-17 1999-11-05 Toshiba Corp プロセスモニタ方法及びプロセス装置
US6830942B1 (en) * 1999-04-06 2004-12-14 Lucent Technologies Inc. Method for processing silicon workpieces using hybrid optical thermometer system
JP2000299367A (ja) * 1999-04-15 2000-10-24 Tokyo Electron Ltd 処理装置及び被処理体の搬送方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
TWI275436B (en) * 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US20040126482A1 (en) 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
WO2008005773A2 (en) 2006-07-03 2008-01-10 Applied Materials, Inc. Cluster tool for advanced front-end processing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP2009064726A (ja) 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板検査装置及び基板検査方法並びに記憶媒体
US20090112520A1 (en) * 2007-10-30 2009-04-30 Applied Materials, Inc. Self-aware semiconductor equipment
JP4784599B2 (ja) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 真空処理装置及び真空処理方法並びに記憶媒体
US8198188B1 (en) * 2008-01-28 2012-06-12 Cadence Design Systems, Inc. Self-aligned VIAS for semiconductor devices
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US8190543B2 (en) 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
JP6079200B2 (ja) * 2012-05-16 2017-02-15 東京エレクトロン株式会社 クーリング機構及び処理システム
US20140196749A1 (en) * 2013-01-15 2014-07-17 Applied Materials, Inc. Cryogenic liquid cleaning apparatus and methods
JP6105436B2 (ja) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 基板処理システム
US9721792B2 (en) * 2013-09-16 2017-08-01 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9059257B2 (en) * 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US20150118012A1 (en) * 2013-10-31 2015-04-30 Lam Research Corporation Wafer entry port with gas concentration attenuators
JP6741594B2 (ja) * 2014-02-04 2020-08-19 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated キャリアによって支持された基板上に一又は複数の層を堆積させるためのシステム、及び当該システムを使用する方法
US9508831B2 (en) 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
JP5993496B2 (ja) * 2014-07-16 2016-09-14 株式会社神戸製鋼所 酸化物半導体薄膜、及び前記酸化物半導体薄膜の表面に保護膜を有する積層体の品質評価方法、及び酸化物半導体薄膜の品質管理方法
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US9673091B2 (en) * 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US10510566B2 (en) * 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
WO2017105447A1 (en) * 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US20170256449A1 (en) * 2016-03-07 2017-09-07 Globalfoundries Inc. Methods of forming conductive structures with different material compositions in a metallization layer
US10020204B2 (en) 2016-03-10 2018-07-10 Applied Materials, Inc. Bottom processing
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
KR102463922B1 (ko) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US10354912B2 (en) * 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US9748169B1 (en) * 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
US9847252B2 (en) * 2016-04-12 2017-12-19 Applied Materials, Inc. Methods for forming 2-dimensional self-aligned vias
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US10438825B2 (en) * 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
JP7164289B2 (ja) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 半導体プロセッシング中のオーバレイを制御するための湾曲を制御する応力の位置特定チューニング
KR102331718B1 (ko) * 2017-06-08 2021-11-26 삼성전자주식회사 반도체 장치 제조 방법
WO2019050735A1 (en) * 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
US10446659B2 (en) * 2017-10-13 2019-10-15 Globalfoundries Inc. Negative capacitance integration through a gate contact
JP7348440B2 (ja) * 2018-03-20 2023-09-21 東京エレクトロン株式会社 統合的な半導体処理モジュールを組み込んだ自己認識及び補正異種プラットフォーム及びその使用方法
US11031287B2 (en) * 2018-06-27 2021-06-08 Tokyo Electron Limited Fully self-aligned via with selective bilayer dielectric regrowth
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11856833B2 (en) 2020-01-22 2023-12-26 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11889740B2 (en) 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
TWI794756B (zh) * 2020-02-28 2023-03-01 美商奈米創尼克影像公司 用於智慧地模仿工廠控制系統及模擬回應資料之方法、系統及設備
US11663327B2 (en) 2020-02-28 2023-05-30 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
TWI783368B (zh) * 2020-03-30 2022-11-11 日商日立全球先端科技股份有限公司 診斷系統
US11899437B2 (en) 2020-03-30 2024-02-13 Hitachi High-Tech Corporation Diagnostic system
TWI771946B (zh) * 2020-03-31 2022-07-21 日商日立全球先端科技股份有限公司 帶電粒子線裝置
TWI724888B (zh) * 2020-05-05 2021-04-11 崑山科技大學 磁浮系統之深度學習比例微分控制方法
TWI718945B (zh) * 2020-05-12 2021-02-11 國立彰化師範大學 主動式恆定施力感測控制系統
TWI780764B (zh) * 2020-06-15 2022-10-11 日商日立全球先端科技股份有限公司 裝置診斷裝置、裝置診斷方法、電漿處理裝置及半導體裝置製造系統
TWI798010B (zh) * 2021-03-02 2023-04-01 日商東京精密股份有限公司 粒子測量裝置、三維形狀測定裝置、探針器裝置、粒子測量系統及粒子測量方法
TWI787757B (zh) * 2021-03-15 2022-12-21 高聖精密機電股份有限公司 智能加工系統及其加工方法
TWI819318B (zh) * 2021-06-17 2023-10-21 台達電子工業股份有限公司 機台監控裝置以及方法
TWI819578B (zh) * 2022-04-20 2023-10-21 國立中央大學 多目標參數最佳化系統、方法及電腦程式產品

Also Published As

Publication number Publication date
US20210125863A1 (en) 2021-04-29
TW202021005A (zh) 2020-06-01
TW202004993A (zh) 2020-01-16
JP2021518674A (ja) 2021-08-02
TW201946179A (zh) 2019-12-01
SG11202009105YA (en) 2020-10-29
TWI794451B (zh) 2023-03-01
US20200083074A1 (en) 2020-03-12
US20190295890A1 (en) 2019-09-26
US11101173B2 (en) 2021-08-24
US20190295891A1 (en) 2019-09-26
US20200083070A1 (en) 2020-03-12
US20210118730A1 (en) 2021-04-22
TWI813647B (zh) 2023-09-01
US20190295887A1 (en) 2019-09-26
KR20200123480A (ko) 2020-10-29
US11456212B2 (en) 2022-09-27
US20200081423A1 (en) 2020-03-12
WO2019183035A1 (en) 2019-09-26
US20200083080A1 (en) 2020-03-12
KR20200123854A (ko) 2020-10-30
TW201946188A (zh) 2019-12-01
US10923394B2 (en) 2021-02-16
KR20200111637A (ko) 2020-09-29
US11594451B2 (en) 2023-02-28
US10861744B2 (en) 2020-12-08
WO2019182913A1 (en) 2019-09-26
CN112074940A (zh) 2020-12-11
US10886173B2 (en) 2021-01-05
JP7348440B2 (ja) 2023-09-21
US10916472B2 (en) 2021-02-09
TWI815870B (zh) 2023-09-21
TW201946180A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
TWI815870B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TWI828666B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
TWI525407B (zh) 用於自主學習和自主改進半導體製造工具之方法和系統
TWI524189B (zh) 用以偵測工具性能劣化及不匹配之方法及系統以及相關電腦程式產品及裝置
TWI472887B (zh) 半導體工具系統、用於分配產品資產的方法、及用於半導體製程的設備
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
KR20100016095A (ko) 인라인 리소그래피 및 에칭 시스템
TWI838361B (zh) 結合整合式半導體處理模組的自我察知及修正異質平台及其使用方法
US20230342016A1 (en) Methods and mechanisms for generating virtual knobs for model performance tuning
US20230384777A1 (en) Methods and mechanisms for preventing fluctuation in machine-learning model performance
US20230135102A1 (en) Methods and mechanisms for process recipe optimization
CN117836922A (zh) 用于无接触处理腔室表征的方法及机制
KR20230140535A (ko) 기판 제조 동안 패턴화된 기판 속성들을 측정하기 위한 방법들 및 메커니즘들
TW202340885A (zh) 用於在評估系統與製造系統之間進行介接的通訊節點
WO2024010736A1 (en) Sustainability monitoring platform with sensor support