JPWO2019182913A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2019182913A5
JPWO2019182913A5 JP2020550765A JP2020550765A JPWO2019182913A5 JP WO2019182913 A5 JPWO2019182913 A5 JP WO2019182913A5 JP 2020550765 A JP2020550765 A JP 2020550765A JP 2020550765 A JP2020550765 A JP 2020550765A JP WO2019182913 A5 JPWO2019182913 A5 JP WO2019182913A5
Authority
JP
Japan
Prior art keywords
module
workpiece
data
processing
manufacturing platform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020550765A
Other languages
Japanese (ja)
Other versions
JP7348440B2 (en
JP2021518674A (en
Publication date
Application filed filed Critical
Priority claimed from PCT/US2019/022608 external-priority patent/WO2019182913A1/en
Publication of JP2021518674A publication Critical patent/JP2021518674A/en
Publication of JPWO2019182913A5 publication Critical patent/JPWO2019182913A5/ja
Application granted granted Critical
Publication of JP7348440B2 publication Critical patent/JP7348440B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (44)

処理システムを使用して、ワークピースを処理して前記ワークピース上に電子デバイスを製作するための製造プラットフォームであって、前記製造プラットフォームは、
共通の製造プラットフォーム上にホストされた複数の処理モジュールであって、前記処理モジュールは、処理シーケンスの一部としての処理工程内で、ワークピース上の材料を操作するように構成されており、
前記複数の処理モジュールは第1モジュール及び第2モジュールを含み、前記第1モジュール及び前記第2モジュールは、プロセスシーケンス内の異なるプロセスを容易にする、複数の処理モジュールと、
前記共通の製造プラットフォーム上にホストされた少なくとも1つの測定モジュールであって、前記測定モジュールは、前記ワークピースが前記共通の製造プラットフォームの処理モジュール内で処理される前又は後の少なくとも一方に、前記ワークピースの属性に関連するデータを測定するように動作可能な検査システムを含む、少なくとも1つの測定モジュールと、
前記共通の製造プラットフォーム上にホストされ、前記処理モジュールと前記少なくとも1つの測定モジュールとの間で前記ワークピースを移動させるように構成されている少なくとも1つのワークピース搬送モジュールと、
前記共通の製造プラットフォーム上に少なくとも部分的にホストされ、前記測定モジュールと結合された能動的阻止制御システムであって、前記能動的阻止制御システムは、不適合を検出するために、前記ワークピースの属性に関連する前記測定の測定データを処理するように構成されており、不適合が検出された場合に、少なくとも部分的に、前記プロセスシーケンスの上流及び/又は下流の処理モジュール内で前記ワークピースの補正処理を実施するように構成されており、
前記能動的阻止制御システムは、更に、前記処理シーケンスにおける前記ワークピースの移動及び処理を制御するように構成されている、能動的阻止制御システムと、
を含能動的阻止制御システムと、を含み、
前記共通の製造プラットフォーム、前記処理モジュール、及び前記測定モジュールは、制御された環境内で動作し、前記ワークピース搬送モジュールは、前記制御された環境を離れることなく、前記処理シーケンス内の前記複数の処理モジュールと前記測定モジュールとの間で前記ワークピースを搬送するように構成されている、
製造プラットフォーム。
A manufacturing platform for processing a workpiece and manufacturing an electronic device on the workpiece using a processing system .
A plurality of processing modules hosted on a common manufacturing platform, said processing module being configured to manipulate materials on a workpiece within a processing process as part of a processing sequence.
The plurality of processing modules include a first module and a second module, wherein the first module and the second module include a plurality of processing modules and a plurality of processing modules that facilitate different processes in a process sequence.
At least one measurement module hosted on the common manufacturing platform, wherein the measurement module is at least one before or after the workpiece is processed in the processing module of the common manufacturing platform. With at least one measurement module, including an inspection system that can operate to measure data related to the attributes of the workpiece.
At least one workpiece transfer module hosted on the common manufacturing platform and configured to move the workpiece between the processing module and the at least one measuring module.
An active arrest control system that is at least partially hosted on the common manufacturing platform and coupled with the measurement module, the active arrest control system being an attribute of the workpiece to detect nonconformities. It is configured to process the measurement data of the measurement related to, and if a nonconformity is detected, at least in part, correct the workpiece in the processing module upstream and / or downstream of the process sequence. It is configured to carry out the process and
The active deterrence control system further comprises an active deterrence control system configured to control the movement and processing of the workpiece in the processing sequence.
Including active deterrence control system, including,
The common manufacturing platform, the processing module, and the measuring module operate in a controlled environment, and the workpiece transfer module has the plurality of in the processing sequence without leaving the controlled environment. It is configured to transport the workpiece between the processing module and the measuring module.
Manufacturing platform.
前記第1モジュールは膜形成モジュールであり、前記第2モジュールはエッチングモジュールである、請求項に記載の製造プラットフォーム。 The manufacturing platform according to claim 1 , wherein the first module is a film forming module and the second module is an etching module. 前記能動的阻止制御システムは、前記測定データからデータパターンを抽出して分類し、不適合の存在を予測するためのパターン認識コンポーネントを含む、請求項に記載の製造プラットフォーム。 The manufacturing platform according to claim 1 , wherein the active deterrence control system includes a pattern recognition component for extracting and classifying data patterns from the measurement data and predicting the presence of nonconformities. 前記パターン認識コンポーネントは、深層学習アーキテクチャを含む、請求項に記載の製造プラットフォーム。 The manufacturing platform of claim 3 , wherein the pattern recognition component comprises a deep learning architecture. 前記パターン認識コンポーネントは、抽出したデータパターンを前記ワークピースの学習した属性と相関させる、請求項に記載の製造プラットフォーム。 The manufacturing platform according to claim 4 , wherein the pattern recognition component correlates the extracted data pattern with the learned attributes of the workpiece. 前記学習した属性は、前記ワークピースの欠陥を含む、請求項に記載の製造プラットフォーム。 The manufacturing platform according to claim 5 , wherein the learned attributes include defects in the workpiece. 前記欠陥は、属性の許容範囲外条件を含み、前記属性は、厚さ、クリティカルディメンション、表面粗さ、膜若しくは表面組成、フィーチャプロファイル、パターンエッジ配置、ボイド、選択性の喪失、不均一性の程度、又はローディング効果、又はこれらの2つ以上のいずれかの組み合わせを含む、請求項に記載の製造プラットフォーム。 The defect includes conditions outside the tolerance of the attribute, which are thickness, critical dimension, surface roughness, film or surface composition, feature profile, pattern edge placement, voids, loss of selectivity, non-uniformity. The manufacturing platform according to claim 6 , comprising a degree, or a loading effect, or a combination of any one or more of these. 前記能動的阻止制御システムは、前記不適合が存在する前記ワークピースの領域を示すためのディスプレイコンポーネントを更に含む、請求項に記載の製造プラットフォーム。 The manufacturing platform according to claim 1 , wherein the active deterrence control system further comprises a display component for indicating the area of the workpiece in which the nonconformity is present. 前記能動的阻止制御システムは、前記ワークピース上の2つ以上の位置で測定されたデータの相関に基づいて不適合の存在を予測するための相関コンポーネントを含む、請求項に記載の製造プラットフォーム。 The manufacturing platform according to claim 1 , wherein the active deterrence control system comprises a correlation component for predicting the presence of nonconformity based on the correlation of data measured at two or more locations on the workpiece. 前記能動的阻止制御システムは、自律学習コンポーネントを含み、前記自律学習コンポーネントは、前記測定データを受け取り、(i)前記測定データ及び前記プロセスシーケンスの性能を特徴付けること、及び(ii)不適合が存在する場合に前記プロセスシーケンスを補正するためのアクションプランを決定すること、に少なくとも部分的に基づいて知識を生成する、請求項に記載の製造プラットフォーム。 The active arrest control system includes an autonomous learning component, which receives the measurement data and (i) characterizes the performance of the measurement data and the process sequence, and (ii) there is a nonconformity. The manufacturing platform according to claim 1 , wherein the knowledge is generated, at least in part, in determining an action plan for amending the process sequence in case. 前記自律学習コンポーネントは、教師あり学習、クラスタリング、次元削減、構造化予測、異常検知、若しくは強化学習のうちの少なくとも1つ、又はこれらの2つ以上のいずれかの組み合わせを実行する、請求項10に記載の製造プラットフォーム。 10. The autonomous learning component performs at least one of supervised learning, clustering, dimensionality reduction, structured prediction, anomaly detection, or reinforcement learning, or any combination of two or more of these. The manufacturing platform described in. 前記能動的阻止制御システムは、
前記測定データを受け取る相互作用コンポーネントであって、前記相互作用コンポーネントは、前記測定データをパッケージし、パッケージされたデータを伝達するアダプタコンポーネントを含む、相互作用コンポーネントと、
前記パッケージされたデータを受け取り、前記パッケージされたデータ及び前記プロセスシーケンスの性能を特徴付ける知識を生成する自律学習コンポーネントと、
を含む、請求項に記載の製造プラットフォーム。
The active deterrence control system is
An interaction component that receives said measurement data, the interaction component comprises an adapter component that packages the measurement data and conveys the packaged data.
An autonomous learning component that receives the packaged data and generates the knowledge that characterizes the packaged data and the performance of the process sequence.
The manufacturing platform according to claim 1 .
前記自律学習コンポーネントは、
前記パッケージされたデータを処理する処理プラットフォームであって、前記処理プラットフォームは、前記パッケージされたデータ上で動作する一連の機能ユニットを含み、前記一連の機能ユニットは、
前記パッケージされたデータを分析し、前記プロセスシーケンスのプロセス目標に少なくとも部分的に基づいて、実施すべきアクションを推論する適応的推論エンジンと、
データ又はコンテキスト変化のうちの1つに少なくとも部分的に基づいて前記プロセス目標を進化させる目標コンポーネントと、
前記知識を記憶するメモリプラットフォームであって、前記メモリプラットフォームは、長期メモリ、短期メモリ、及びエピソードメモリを含むメモリの階層を含み、前記長期メモリは、エンティティ、関係、又は手続きのうちの少なくとも1つを含む一連の概念を記憶し、前記一連の概念のうちの一概念は、前記プロセスシーケンスの現在の状態に対する概念の関連性を示す第1の数値的属性と、前記概念を使用する難易度を示す第2の数値的属性とを含む、メモリプラットフォームと、
を含む、処理プラットフォーム
を含む、請求項12に記載の製造プラットフォーム。
The autonomous learning component is
A processing platform that processes the packaged data, wherein the processing platform includes a set of functional units that operate on the packaged data.
An adaptive inference engine that analyzes the packaged data and infers actions to be taken, at least in part, based on the process goals of the process sequence.
A goal component that evolves the process goal based at least in part on one of the data or context changes.
A memory platform for storing the knowledge, the memory platform including a hierarchy of memories including long-term memory, short-term memory, and episode memory, wherein the long-term memory is at least one of an entity, relationship, or procedure. Memorize a set of concepts, one of which is a first numerical attribute that indicates the relevance of the concept to the current state of the process sequence, and the difficulty of using the concept. A memory platform, including the second numerical attribute shown, and
12. The manufacturing platform according to claim 12 , comprising a processing platform.
インタラクティブコンポーネントは、更に、前記複数の前記処理モジュールのうちの1つ以上からモジュール診断データを受け取り、前記パッケージされたデータを準備するときに、前記モジュール診断データを前記測定データと共にパッケージする、請求項13に記載の製造プラットフォーム。 The interactive component further claims to receive module diagnostic data from one or more of the plurality of processing modules and package the module diagnostic data with the measurement data when preparing the packaged data. 13. The manufacturing platform according to 13. 外部アクターとのデータ交換を容易にする相互作用マネージャを前記相互作用コンポーネントが更に含む、請求項13に記載の製造プラットフォーム。 13. The manufacturing platform of claim 13 , wherein the interaction component further comprises an interaction manager that facilitates data exchange with external actors. パッケージされたデータ又は前記外部アクターと交換される前記データのうちの少なくとも1つは、トレーニングデータを含む、請求項15に記載の製造プラットフォーム。 15. The manufacturing platform of claim 15 , wherein at least one of the packaged data or the data exchanged with said external actors comprises training data. 前記トレーニングデータは、タスクに関連するモジュールプロセス又は変数の識別のうちの少なくとも1つ、前記タスクに関連する2つ以上のモジュールプロセス又は変数間の機能的関係、因果グラフであって、前記タスクに関連し、前記因果グラフ中に存在する一連のモジュールプロセス又は変数に関連する一連の先験的確率と、前記タスクに関連し、前記因果グラフ中に存在する1つ以上のモジュールプロセス又は変数に関連する一連の条件付き確率とを含む因果グラフ、又は前記プロセスシーケンスの挙動を記述する一連のパラメータを更に含む、請求項16に記載の製造プラットフォーム。 The training data is at least one of the identification of a module process or variable related to the task, a functional relationship between two or more module processes or variables related to the task, a causal graph, and the task. A set of a priori probabilities associated with a set of modular processes or variables that are related and present in the causal graph and related to one or more modular processes or variables that are related to the task and present in the causal graph. 16. The manufacturing platform according to claim 16 , further comprising a set of causal graphs, including a set of conditional probabilities, or a set of parameters describing the behavior of the process sequence. 共通の製造プラットフォーム上にホストされた複数の処理モジュールを通してワークピースを処理することであって、前記ワークピースは複数の電子デバイスを含み、前記処理することは、少なくとも1つの膜形成プロセスと少なくとも1つのエッチングプロセス又は膜処理プロセスとを有するプロセスシーケンスを実施することを含む、ことと、
前記共通の製造プラットフォーム上で前記ワークピースの製作測定データを収集することであって、前記製作測定データは、前記共通の製造プラットフォーム上で実施される前記プロセスシーケンスの少なくとも一部に基づいた前記ワークピースの属性の測定値である、ことと、
前記プロセスシーケンスの少なくとも一部のプロセスを実施している前記処理モジュールのうちの少なくとも1つで処理されているワークピースからその場プロセス計測データを収集することと、
前記ワークピースの不適合を、前記収集された製作測定データ及び前記その場プロセス計測データのうちの少なくとも1つに基づいて検出することと、
不適合が検出された場合、前記製作測定データ及び前記その場プロセス計測データのうちの少なくとも1つが収集された後に、前記共通の製造プラットフォーム上の前記プロセスシーケンス内の前記ワークピースの補正処理を実行するために、前記プロセスシーケンスに対して能動的阻止を実施することと、
を含み、
前記共通の製造プラットフォームは、第1搬送測定モジュール及び第2搬送測定モジュールを備え、
前記第1搬送測定モジュール及び前記第2搬送測定モジュールの各々は、それぞれ測定モジュールを備え、
前記第1搬送測定モジュールは第1パススルーチャンバを介して前記第2搬送測定モジュールに接続されており、
前記第2搬送測定モジュールは第2パススルーチャンバを介してワークピースバッチ/デバッチモジュールに接続されており、
前記複数の処理モジュールの各処理モジュールは、前記第1搬送測定モジュール及び前記第2搬送測定モジュールのうちの1つに接続されている
方法。
Processing a workpiece through multiple processing modules hosted on a common manufacturing platform, said workpiece comprising multiple electronic devices, said processing is at least one membrane forming process and at least one. Including performing a process sequence having one etching process or film treatment process, and
Collecting fabrication measurement data for the workpiece on the common manufacturing platform, where the fabrication measurement data is based on at least a portion of the process sequence performed on the common manufacturing platform. It is a measurement of the attributes of the piece, and
Collecting in-situ process measurement data from a workpiece being processed by at least one of the processing modules performing at least a portion of the process of the process sequence.
Detecting the work piece nonconformity based on at least one of the collected fabrication measurement data and the in-situ process measurement data.
If a nonconformity is detected, after at least one of the production measurement data and the in-situ process measurement data has been collected, a correction process for the workpiece in the process sequence on the common manufacturing platform is performed. In order to do so, it is necessary to carry out active blocking of the process sequence.
Including
The common manufacturing platform includes a first transport measurement module and a second transport measurement module.
Each of the first transfer measurement module and the second transfer measurement module includes a measurement module.
The first transfer measurement module is connected to the second transfer measurement module via the first pass-through chamber.
The second transfer measurement module is connected to the workpiece batch / debatch module via the second pass-through chamber.
Each processing module of the plurality of processing modules is connected to one of the first transfer measurement module and the second transfer measurement module .
Method.
前記補正処理は、前記共通の製造プラットフォーム上の処理モジュールの処理を変化させること、又は前記ワークピースを廃棄すること、又は前記不適合についてオペレータに通知することのうちの少なくとも1つを含む、請求項18に記載の方法。 The amendment process comprises at least one of altering the processing of the processing module on the common manufacturing platform, disposing of the workpiece, or notifying the operator of the nonconformity. 18. The method according to 18. その場プロセス計測データを前記収集することは、前記プロセスシーケンスのプロセス工程の最中に前記処理モジュール内その場で行われる、請求項19に記載の方法。 19. The method of claim 19 , wherein collecting the in-situ process measurement data is performed in-situ in the processing module during the process process of the process sequence. 前記補正処理は、前記その場プロセス計測データが取得されたのと同じ処理モジュール内その場で行われる、請求項20に記載の方法。 The method according to claim 20 , wherein the correction process is performed in-situ in the same processing module in which the in-situ process measurement data is acquired. 製作測定データを前記収集することは、前記処理モジュールの現場外、且つ前記共通の製造プラットフォーム内で行われる、請求項18に記載の方法。 18. The method of claim 18 , wherein the collection of manufacturing measurement data is performed outside the field of the processing module and within the common manufacturing platform. 前記補正処理は、前記その場プロセス計測データが収集された前記処理モジュールの現場外、且つ前記共通の製造プラットフォーム内で行われる、請求項20に記載の方法。 The method of claim 20 , wherein the correction process is performed outside the field of the process module from which the in-situ process measurement data is collected and within the common manufacturing platform. 1つ以上の処理モジュールの動作に関するプロセスパラメータデータ、又は前記共通の製造プラットフォームの動作に関するプラットフォーム性能データのうちの少なくとも1つを収集することと、
製作測定データ、前記プロセスパラメータデータ、又は前記プラットフォーム性能データのうちの少なくとも1つに基づいて、後続のワークピースのプロセスシーケンスに対する能動的阻止を実施することと、
を更に含む、請求項18に記載の方法。
Collecting at least one of the process parameter data for the operation of one or more processing modules or the platform performance data for the operation of the common manufacturing platform.
Performing active blocking of subsequent workpiece process sequences based on at least one of the production measurement data, the process parameter data, or the platform performance data.
18. The method of claim 18 .
プロセスシーケンスに対する能動的阻止は、前記不適合を補正するために前記ワークピースを改善プロセスシーケンスに曝すことを含む、請求項18に記載の方法。 18. The method of claim 18 , wherein active blocking of the process sequence comprises exposing the workpiece to an improved process sequence to compensate for the nonconformity. 前記改善プロセスシーケンスは、前記ワークピースを洗浄すること、前記ワークピースから膜を除去すること、又は前記ワークピースから前記膜の一部を除去することのうちの少なくとも1つを含む、請求項25に記載の方法。 25. The improvement process sequence comprises at least one of cleaning the workpiece, removing the membrane from the workpiece, or removing a portion of the membrane from the workpiece. The method described in. 前記改善プロセスシーケンスは、前記共通の製造プラットフォーム上で実施される、請求項25に記載の方法。 25. The method of claim 25 , wherein the improvement process sequence is performed on the common manufacturing platform. 前記改善プロセスシーケンスは、前記共通の製造プラットフォームの外部で実施される、請求項26に記載の方法。 26. The method of claim 26 , wherein the improvement process sequence is performed outside of the common manufacturing platform. 前記改善プロセスシーケンスは、極低温冷却されたスプレーを用いて前記ワークピースを洗浄することを含む、請求項25に記載の方法。 25. The method of claim 25 , wherein the improvement process sequence comprises cleaning the workpiece with a cryocooled spray. プロセスシーケンスに対する能動的阻止は、検出された前記不適合を修正するために、前記ワークピースを調整プロセスシーケンスに曝すことを含む、請求項18に記載の方法。 18. The method of claim 18 , wherein active blocking of the process sequence comprises exposing the workpiece to the conditioning process sequence in order to correct the detected nonconformity. 前記調整プロセスシーケンスは、1つ以上のプロセス条件を、不適合が検出された製作測定データ又はその場プロセス計測データに少なくとも部分的に基づいて制御することを含む、請求項30に記載の方法。 30. The method of claim 30 , wherein the adjustment process sequence comprises controlling one or more process conditions based at least in part on production measurement data or in-situ process measurement data in which nonconformity is detected. 前記調整プロセスシーケンスは、処理モジュールの1つ以上のプロセス条件を、前記不適合の補正に対応するモデルに少なくとも部分的に基づいて制御することを含む、請求項30に記載の方法。 30. The method of claim 30 , wherein the adjustment process sequence comprises controlling one or more process conditions of the processing module based at least in part on the model corresponding to the correction of the nonconformity. 前記調整プロセスシーケンスは、膜形成プロセス、エッチングプロセス、又は膜処理プロセス間で交互させることを含む、請求項30に記載の方法。 30. The method of claim 30 , wherein the conditioning process sequence comprises alternating between film forming processes, etching processes, or film processing processes. 能動的阻止を実施した後、前記能動的阻止に基づいた前記不適合に対する影響を決定するために、前記ワークピースの製作測定データを収集することを更に含む、請求項18に記載の方法。 18. The method of claim 18 , further comprising collecting fabrication measurement data of the workpiece to determine the effect on the nonconformity based on the active arrest after performing the active arrest. 前記決定された前記不適合に対する影響に基づいて、ワークピースに対する前記プロセスシーケンスを継続させることを更に含む、請求項34に記載の方法。 34. The method of claim 34 , further comprising continuing the process sequence for the workpiece based on the effect on the determined nonconformity. 前記製作測定データは、以下、層厚さ、層のコンフォーマル性、層の被覆範囲、層のプロファイル、エッジ配置位置、エッジ配置誤差(EPE)、クリティカルディメンション(CD)、ブロッククリティカルディメンション(CD)、グリッドクリティカルディメンション(CD)、ライン幅粗さ(LWR)、ラインエッジ粗さ(LER)、ブロックLWR、グリッドLWR、選択的堆積に関する特性、選択性エッチングに関する特性、物性、光学的性質、電気的性質、屈折率、抵抗、電流、電圧、温度、質量、速度、加速度、又はワークピース上に製作される電子デバイスに関連するこれらの組み合わせのうちの1つ以上を含む属性に関連する測定値である、請求項18に記載の方法。 The production measurement data are described below as follows: layer thickness, layer conformability, layer coverage, layer profile, edge placement position, edge placement error (EPE), critical dimension (CD), block critical dimension (CD). , Grid Critical Dimension (CD), Line Width Roughness (LWR), Line Edge Roughness (LER), Block LWR, Grid LWR, Selective Accumulation Properties, Selective Etching Properties, Physical Properties, Optical Properties, Electrical Measurements related to an attribute that includes one or more of these combinations related to properties, refraction, resistance, current, voltage, temperature, mass, velocity, acceleration, or electronic devices manufactured on the workpiece. The method according to claim 18 . プロセスパラメータデータは、前記処理モジュールで実行される1つ以上のプロセス条件の表示を含む、請求項24に記載の方法。 24. The method of claim 24 , wherein the process parameter data comprises displaying one or more process conditions executed by the processing module. 前記プロセス条件は、プラズマ密度、プラズマ均一性、プラズマ温度、エッチングレート、エッチング均一性、堆積速度、及び/又は堆積均一性のうちの少なくとも1つに基づいている、請求項37に記載の方法。 37. The method of claim 37 , wherein the process conditions are based on at least one of plasma density, plasma uniformity, plasma temperature, etching rate, etching uniformity, deposition rate, and / or deposition uniformity. 前記プロセス条件は、前記処理モジュール内に配置されたプラズマ源に印加されるエネルギーの振幅、周波数、変調のうちの少なくとも1つを含む、請求項37に記載の方法。 37. The method of claim 37 , wherein the process conditions include at least one of an amplitude, frequency, or modulation of energy applied to a plasma source located within the processing module. 前記プロセス条件は、前記プロセスシーケンス中に前記処理モジュールに流入させるガス流量を含む、請求項37に記載の方法。 37. The method of claim 37 , wherein the process conditions include a gas flow rate flowing into the processing module during the process sequence. 前記プロセス条件は、前記処理モジュール内に配置されたワークピースホルダの温度を含む、請求項37に記載の方法。 37. The method of claim 37 , wherein the process conditions include the temperature of a workpiece holder placed within the processing module. 前記プロセス条件は、前記プロセスシーケンス中の前記処理モジュール内の圧力を含む、請求項37に記載の方法。 37. The method of claim 37 , wherein the process conditions include pressure in the processing module during the process sequence. プラットフォーム性能データは、前記プロセスシーケンスの実行に寄与するプラットフォーム属性の表示又はプロセスモジュールが前記プロセスシーケンスに供された時間の長さの表示のうちの少なくとも1つを含む、請求項37に記載の方法。 37. The method of claim 37 , wherein the platform performance data comprises at least one of a display of platform attributes that contribute to the execution of the process sequence or a display of the length of time a process module has been devoted to the process sequence. .. プラットフォーム属性は、プロセス冷却水温度、プロセス冷却水流量、プロセスモジュールの処理時間、プロセスモジュールの累積厚さを含む、請求項43に記載の方法。 43. The method of claim 43 , wherein the platform attributes include process cooling water temperature, process cooling water flow rate, process module processing time, and process module cumulative thickness.
JP2020550765A 2018-03-20 2019-03-15 Self-aware and corrective heterogeneous platform incorporating integrated semiconductor processing module and method of use thereof Active JP7348440B2 (en)

Applications Claiming Priority (9)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
US201962787608P 2019-01-02 2019-01-02
US201962787607P 2019-01-02 2019-01-02
US62/787,607 2019-01-02
US62/787,608 2019-01-02
US201962788195P 2019-01-04 2019-01-04
US62/788,195 2019-01-04
PCT/US2019/022608 WO2019182913A1 (en) 2018-03-20 2019-03-15 Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same

Publications (3)

Publication Number Publication Date
JP2021518674A JP2021518674A (en) 2021-08-02
JPWO2019182913A5 true JPWO2019182913A5 (en) 2022-03-23
JP7348440B2 JP7348440B2 (en) 2023-09-21

Family

ID=67983243

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020550765A Active JP7348440B2 (en) 2018-03-20 2019-03-15 Self-aware and corrective heterogeneous platform incorporating integrated semiconductor processing module and method of use thereof

Country Status (7)

Country Link
US (9) US20200083080A1 (en)
JP (1) JP7348440B2 (en)
KR (3) KR20200123480A (en)
CN (1) CN112074940A (en)
SG (1) SG11202009105YA (en)
TW (5) TWI815870B (en)
WO (2) WO2019182913A1 (en)

Families Citing this family (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102016123865A1 (en) * 2016-12-08 2018-06-14 Schott Ag Process for the further processing of a glass tube semifinished product including a thermal deformation
DE102016124833A1 (en) 2016-12-19 2018-06-21 Schott Ag Method for producing a hollow glass product from a glass tube semifinished product with markings, as well as uses thereof
KR20200108876A (en) * 2018-01-26 2020-09-21 도쿄엘렉트론가부시키가이샤 Substrate processing equipment
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US10896833B2 (en) * 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
KR20210014127A (en) * 2018-06-27 2021-02-08 도쿄엘렉트론가부시키가이샤 Fully self-aligned vias through selective double-layer dielectric regrowth
KR102635828B1 (en) * 2018-09-20 2024-02-15 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US11681929B2 (en) * 2018-10-02 2023-06-20 Honeywell International Inc. Methods and systems for predicting a remaining useful life of a component using an accelerated failure time model
US11335596B2 (en) 2018-10-30 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Selective deposition for integrated circuit interconnect structures
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
US11366457B1 (en) * 2018-11-16 2022-06-21 On-Time.Ai, Inc. Controling operation of machine tools using artificial intelligence
JP7304692B2 (en) * 2018-12-13 2023-07-07 東京エレクトロン株式会社 Substrate processing method and substrate processing apparatus
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
WO2020194589A1 (en) * 2019-03-27 2020-10-01 三菱電機株式会社 Vehicle control calculation device, vehicle control apparatus, and vehicle control calculation method
US11156991B2 (en) * 2019-06-24 2021-10-26 Nanotronics Imaging, Inc. Predictive process control for a manufacturing process
JP6956147B2 (en) * 2019-07-23 2021-10-27 株式会社Kokusai Electric Semiconductor device manufacturing methods, substrate processing devices and programs
US20210103221A1 (en) * 2019-10-08 2021-04-08 International Business Machines Corporation Tool control using multistage lstm for predicting on-wafer measurements
US20230023764A1 (en) * 2019-12-17 2023-01-26 Applied Materials, Inc. Surface profiling and texturing of chamber components
TWI740313B (en) * 2019-12-18 2021-09-21 新加坡商鴻運科股份有限公司 Virtual measurement method, device, and computer readbale storage medium
KR20210081729A (en) 2019-12-24 2021-07-02 에스케이하이닉스 주식회사 System and Method for Testing Semiconductor
WO2021138132A1 (en) * 2019-12-30 2021-07-08 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
KR20220129599A (en) 2020-01-22 2022-09-23 어플라이드 머티어리얼스, 인코포레이티드 In-line monitoring of OLED layer thickness and dopant concentration
US11889740B2 (en) 2020-01-22 2024-01-30 Applied Materials, Inc. In-line monitoring of OLED layer thickness and dopant concentration
US11515203B2 (en) 2020-02-05 2022-11-29 Tokyo Electron Limited Selective deposition of conductive cap for fully-aligned-via (FAV)
US11415971B2 (en) 2020-02-10 2022-08-16 Globalwafers Co., Ltd. Systems and methods for enhanced wafer manufacturing
US11086988B1 (en) 2020-02-28 2021-08-10 Nanotronics Imaging, Inc. Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
TWI794756B (en) * 2020-02-28 2023-03-01 美商奈米創尼克影像公司 Method, systems and apparatus for intelligently emulating factory control systems and simulating response data
JP2023516776A (en) * 2020-03-09 2023-04-20 ナノトロニクス イメージング インコーポレイテッド Systems, methods and media for manufacturing processes
US11939665B2 (en) * 2020-03-10 2024-03-26 Tokyo Electron Limted Film thickness measuring apparatus and film thickness measuring method, and film forming system and film forming method
US11221300B2 (en) * 2020-03-20 2022-01-11 KLA Corp. Determining metrology-like information for a specimen using an inspection tool
WO2021199164A1 (en) * 2020-03-30 2021-10-07 株式会社日立ハイテク Diagnostic system
US20230162943A1 (en) * 2020-03-31 2023-05-25 Hitachi High-Tech Corporation Charged particle beam device
JP7230877B2 (en) * 2020-04-20 2023-03-01 株式会社Sumco Epitaxial wafer manufacturing system and epitaxial wafer manufacturing method
US11450506B2 (en) * 2020-04-24 2022-09-20 Tel Manufacturing And Engineering Of America, Inc. Pattern enhancement using a gas cluster ion beam
TWI724888B (en) * 2020-05-05 2021-04-11 崑山科技大學 Deep learning proportional derivative control method for magnetic levitation system
TWI718945B (en) * 2020-05-12 2021-02-11 國立彰化師範大學 Active constant force imposing sensing and controlling system
CN111614587B (en) * 2020-05-25 2021-04-06 齐鲁工业大学 SC-FDE system signal detection method based on self-adaptive integrated deep learning model
WO2021245741A1 (en) * 2020-06-01 2021-12-09 信越半導体株式会社 Method for evaluating outer peripheral distortion of wafer
US20210389126A1 (en) * 2020-06-12 2021-12-16 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Surface Profile Mapping for Evaluating III-N Device Performance and Yield
US20220399182A1 (en) * 2020-06-15 2022-12-15 Hitachi High-Tech Corporation Apparatus diagnostic apparatus, apparatus diagnostic method, plasma processing apparatus and semiconductor device manufacturing system
US11688616B2 (en) 2020-07-22 2023-06-27 Applied Materials, Inc. Integrated substrate measurement system to improve manufacturing process performance
USD977504S1 (en) 2020-07-22 2023-02-07 Applied Materials, Inc. Portion of a display panel with a graphical user interface
TW202229581A (en) * 2020-08-28 2022-08-01 日商東京威力科創股份有限公司 Film forming apparatus and method for manufacturing part having film containing silicon
JP7203070B2 (en) * 2020-09-23 2023-01-12 株式会社Kokusai Electric Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
US20220165541A1 (en) * 2020-11-24 2022-05-26 Applied Materials, Inc. Etch feedback for control of upstream process
US20220199544A1 (en) * 2020-12-17 2022-06-23 Intel Corporation Cap structure for interconnect dielectrics and methods of fabrication
CN112579512B (en) * 2020-12-24 2023-04-18 中国航空工业集团公司西安航空计算技术研究所 Airborne embedded intelligent micro-processing system
CN112813422B (en) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 Deposition method and deposition equipment based on cavity interconnection
US11709477B2 (en) 2021-01-06 2023-07-25 Applied Materials, Inc. Autonomous substrate processing system
CN112750738B (en) * 2021-01-18 2024-02-23 中国电子科技集团公司第四十八研究所 Ion beam etching equipment and etching method thereof
CN112420543B (en) * 2021-01-22 2021-04-16 山东元旭光电股份有限公司 Automatic wafer detection line
US20220236051A1 (en) * 2021-01-25 2022-07-28 Changxin Memory Technologies, Inc. Method for detecting etching defects of etching equipment
US20220238300A1 (en) * 2021-01-26 2022-07-28 Applied Materials, Inc. Rating substrate support assemblies based on impedance circuit electron flow
US20220238323A1 (en) * 2021-01-28 2022-07-28 Tokyo Electron Limited Method for selective deposition of dielectric on dielectric
US11901286B2 (en) * 2021-01-28 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Diagonal via pattern and method
US20220258304A1 (en) * 2021-02-16 2022-08-18 Applied Materials, Inc. Methods and apparatus for processing a substrate
JP2022133631A (en) * 2021-03-02 2022-09-14 株式会社東京精密 Particle measurement device, three-dimensional shape measurement device, prober device, particle measurement system, and particle measurement method
TW202242958A (en) * 2021-03-02 2022-11-01 日商東京威力科創股份有限公司 Data collection system, data collection device, data collection method, and data collection program
TWI787757B (en) * 2021-03-15 2022-12-21 高聖精密機電股份有限公司 An intelligent processing system and a processing method thereof
JP7366952B2 (en) * 2021-03-23 2023-10-23 芝浦メカトロニクス株式会社 Inspection method for plasma processing equipment
TWI782539B (en) * 2021-05-21 2022-11-01 聯策科技股份有限公司 Intelligent processing method and system
TWI788855B (en) * 2021-05-25 2023-01-01 旺宏電子股份有限公司 Detection system
KR102545754B1 (en) * 2021-05-27 2023-06-20 세메스 주식회사 Apparatus for treating substrate and method for detecting state of substrate
WO2022265874A1 (en) * 2021-06-17 2022-12-22 Tokyo Electron Limited Dry resist system and method of using
TWI819318B (en) * 2021-06-17 2023-10-21 台達電子工業股份有限公司 Machine monitoring device and method
CN113253037B (en) * 2021-06-22 2021-10-08 北京赛博联物科技有限公司 Current ripple-based edge cloud cooperative equipment state monitoring method and system and medium
CN115599620A (en) * 2021-06-28 2023-01-13 深圳富桂精密工业有限公司(Cn) Monitoring alarm method and terminal equipment
CN113538392B (en) * 2021-07-26 2022-11-11 长江存储科技有限责任公司 Wafer detection method, wafer detection equipment and storage medium
US20230032146A1 (en) * 2021-07-27 2023-02-02 Applied Materials, Inc. Simultaneous in process metrology for cluster tool architecture
US11983476B2 (en) * 2021-07-30 2024-05-14 International Business Machines Corporation Technology-independent line end routing
US11966682B2 (en) 2021-07-30 2024-04-23 International Business Machines Corporation Fast independent checker for extreme ultraviolet (EUV) routing
WO2023025506A1 (en) * 2021-08-26 2023-03-02 Asml Netherlands B.V. Method for determing a measurement recipe and associated apparatuses
US11892382B2 (en) * 2021-08-27 2024-02-06 Taiwan Semiconductor Manufacturing Company Ltd. Method for detecting environmental parameter in semiconductor fabrication facility
CN113705487B (en) * 2021-08-31 2023-08-08 西南交通大学 Precision workpiece identification and technological parameter association system and identification method
US20230089982A1 (en) * 2021-09-10 2023-03-23 Applied Materials, Inc. Methods and mechanisms for coupling sensors to transfer chamber robot
US20230080707A1 (en) * 2021-09-13 2023-03-16 Advanced Energy Industries, Inc. Model reference adaptive control with signum projection tensor operations
US11866831B2 (en) 2021-11-09 2024-01-09 Tokyo Electron Limited Methods for wet atomic layer etching of copper
JP7200346B1 (en) 2021-12-22 2023-01-06 Sppテクノロジーズ株式会社 Program, information processing method, information processing apparatus, and model generation method
US20230257900A1 (en) * 2022-02-11 2023-08-17 Applied Materials, Inc. Parameter adjustment model for semiconductor processing chambers
TWI819578B (en) * 2022-04-20 2023-10-21 國立中央大學 Multi-objective parameters optimization system, method and computer program product thereof
US20230341841A1 (en) * 2022-04-24 2023-10-26 Applied Materials, Inc. Bayesian decomposition for mismatched performances in semiconductor equipment
US20230350394A1 (en) * 2022-04-27 2023-11-02 Applied Materials, Inc. Run-to-run control at a manufacturing system using machine learning
US20230378006A1 (en) * 2022-05-23 2023-11-23 Applied Materials, Inc. In-situ integrated wafer parameter detection system
CN114817077B (en) * 2022-06-27 2022-09-06 云账户技术(天津)有限公司 Method, system and network equipment for judging test quality and specification
WO2024091865A1 (en) * 2022-10-24 2024-05-02 Ohio State Innovation Foundation Method for backward failure propagation in conceptual system design

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3965343B2 (en) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 Processing equipment
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US5963315A (en) * 1997-08-18 1999-10-05 Motorola, Inc. Method and apparatus for processing a semiconductor wafer on a robotic track having access to in situ wafer backside particle detection
JPH11307604A (en) * 1998-04-17 1999-11-05 Toshiba Corp Process monitoring method and device
US6830942B1 (en) * 1999-04-06 2004-12-14 Lucent Technologies Inc. Method for processing silicon workpieces using hybrid optical thermometer system
JP2000299367A (en) * 1999-04-15 2000-10-24 Tokyo Electron Ltd Processing apparatus and transfer method of article to be processed
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
TWI275436B (en) * 2002-01-31 2007-03-11 Ebara Corp Electrochemical machining device, and substrate processing apparatus and method
US7101260B2 (en) * 2002-07-29 2006-09-05 Nanoclean Technologies, Inc. Methods for resist stripping and other processes for cleaning surfaces substantially free of contaminants
US20040126482A1 (en) 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US7482178B2 (en) * 2003-08-06 2009-01-27 Applied Materials, Inc. Chamber stability monitoring using an integrated metrology tool
US8639489B2 (en) * 2003-11-10 2014-01-28 Brooks Automation, Inc. Methods and systems for controlling a semiconductor fabrication process
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US9099506B2 (en) * 2005-03-30 2015-08-04 Brooks Automation, Inc. Transfer chamber between workstations
KR20090035578A (en) * 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 Cluster tool for advanced front-end processing
US20090023230A1 (en) * 2007-07-20 2009-01-22 Applied Materials, Inc. Methods and apparatus for depositing an anti-reflection coating
JP2009064726A (en) 2007-09-07 2009-03-26 Tokyo Electron Ltd Substrate inspection device, substrate inspection method, and storage medium
US20090112520A1 (en) * 2007-10-30 2009-04-30 Applied Materials, Inc. Self-aware semiconductor equipment
JP4784599B2 (en) * 2007-12-28 2011-10-05 東京エレクトロン株式会社 Vacuum processing apparatus, vacuum processing method, and storage medium
US8198188B1 (en) * 2008-01-28 2012-06-12 Cadence Design Systems, Inc. Self-aligned VIAS for semiconductor devices
WO2009099776A1 (en) * 2008-01-31 2009-08-13 Applied Materials, Inc. Closed loop mocvd deposition control
US8190543B2 (en) * 2008-03-08 2012-05-29 Tokyo Electron Limited Autonomous biologically based learning tool
US8232212B2 (en) * 2008-07-11 2012-07-31 Applied Materials, Inc. Within-sequence metrology based process tuning for adaptive self-aligned double patterning
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
TW201123340A (en) * 2009-11-12 2011-07-01 Hitachi High Tech Corp Vacuum processing system and vacuum processing method of semiconductor processing substrate
US8954184B2 (en) * 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
JP6079200B2 (en) * 2012-05-16 2017-02-15 東京エレクトロン株式会社 Cooling mechanism and processing system
WO2014113220A1 (en) * 2013-01-15 2014-07-24 Applied Materials, Inc Cryogenic liquid cleaning apparatus and methods
JP6105436B2 (en) * 2013-08-09 2017-03-29 東京エレクトロン株式会社 Substrate processing system
US9721792B2 (en) * 2013-09-16 2017-08-01 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9059257B2 (en) * 2013-09-30 2015-06-16 International Business Machines Corporation Self-aligned vias formed using sacrificial metal caps
US20150118012A1 (en) * 2013-10-31 2015-04-30 Lam Research Corporation Wafer entry port with gas concentration attenuators
US9899635B2 (en) * 2014-02-04 2018-02-20 Applied Materials, Inc. System for depositing one or more layers on a substrate supported by a carrier and method using the same
US9419107B2 (en) 2014-06-19 2016-08-16 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
JP5993496B2 (en) * 2014-07-16 2016-09-14 株式会社神戸製鋼所 Oxide semiconductor thin film, quality evaluation method of laminate having protective film on surface of oxide semiconductor thin film, and quality control method of oxide semiconductor thin film
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
TWI677046B (en) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 External substrate rotation in a semiconductor processing system
US9673091B2 (en) 2015-06-25 2017-06-06 Globalfoundries Inc. Structure for BEOL metal levels with multiple dielectric layers for improved dielectric to metal adhesion
US10510566B2 (en) * 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US9659864B2 (en) 2015-10-20 2017-05-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for forming self-aligned via with selectively deposited etching stop layer
WO2017105447A1 (en) 2015-12-16 2017-06-22 Intel Corporation Methods and apparatuses to provide ordered porosity
US10365639B2 (en) * 2016-01-06 2019-07-30 Kla-Tencor Corporation Feature selection and automated process window monitoring through outlier detection
US10192762B2 (en) * 2016-01-26 2019-01-29 Applied Materials, Inc. Systems and methods for detecting the existence of one or more environmental conditions within a substrate processing system
US20170256449A1 (en) * 2016-03-07 2017-09-07 Globalfoundries Inc. Methods of forming conductive structures with different material compositions in a metallization layer
US10020204B2 (en) 2016-03-10 2018-07-10 Applied Materials, Inc. Bottom processing
WO2017161236A1 (en) * 2016-03-17 2017-09-21 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
KR102463922B1 (en) * 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 Method for forming fine patterns
US10354912B2 (en) * 2016-03-21 2019-07-16 Qualcomm Incorporated Forming self-aligned vertical interconnect accesses (VIAs) in interconnect structures for integrated circuits (ICs)
US9748169B1 (en) * 2016-04-04 2017-08-29 International Business Machines Corporation Treating copper interconnects
US9847252B2 (en) * 2016-04-12 2017-12-19 Applied Materials, Inc. Methods for forming 2-dimensional self-aligned vias
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10269545B2 (en) * 2016-08-03 2019-04-23 Lam Research Corporation Methods for monitoring plasma processing systems for advanced process and tool control
US10438825B2 (en) * 2016-08-29 2019-10-08 Kla-Tencor Corporation Spectral reflectometry for in-situ process monitoring and control
JP7164289B2 (en) * 2016-09-05 2022-11-01 東京エレクトロン株式会社 Position-Specific Tuning of Bow-Controlling Stress to Control Overlay During Semiconductor Processing
KR102331718B1 (en) * 2017-06-08 2021-11-26 삼성전자주식회사 Methods of manufacturing a semiconductor device
US10600688B2 (en) * 2017-09-06 2020-03-24 Micromaterials Llc Methods of producing self-aligned vias
US10446659B2 (en) * 2017-10-13 2019-10-15 Globalfoundries Inc. Negative capacitance integration through a gate contact
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
KR20210014127A (en) * 2018-06-27 2021-02-08 도쿄엘렉트론가부시키가이샤 Fully self-aligned vias through selective double-layer dielectric regrowth
US11769692B2 (en) * 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer

Similar Documents

Publication Publication Date Title
JPWO2019182913A5 (en)
JP4601492B2 (en) Quality prediction system and method for production process
US8812266B2 (en) Abnormality determination system and abnormality determination method for processing apparatus
JP6890382B2 (en) Production system
JP3870052B2 (en) Semiconductor device manufacturing method and defect inspection data processing method
TWI412906B (en) Manufacturing execution system with virtual-metrology capabilities and manufacturing system including the same
Abu-Samah et al. Failure prediction methodology for improved proactive maintenance using Bayesian approach
KR101708078B1 (en) Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US11556117B2 (en) Real-time anomaly detection and classification during semiconductor processing
Zhang et al. Fault detection strategy based on weighted distance of $ k $ nearest neighbors for semiconductor manufacturing processes
CN108829933A (en) A kind of method of the predictive maintenance and health control of semiconductor manufacturing facility
KR20110133497A (en) Method and system for detection of tool performance degradation and mismatch
JP2008004641A (en) Defect detection system, defect detection method, and program
JP2006146459A (en) Method and system for manufacturing semiconductor device
KR20230104540A (en) Predictive Maintenance for Semiconductor Manufacturing Equipment
CN113609790A (en) Product virtual measuring method, system, device and medium
WO2022132704A1 (en) Machine-learning in multi-step semiconductor fabrication processes
Tin et al. A realizable overlay virtual metrology system in semiconductor manufacturing: Proposal, challenges and future perspective
WO2022186987A1 (en) Systems and methods for process chamber health monitoring and diagnostics using virtual model
TW202216313A (en) Method and system for foreline deposition diagnostics and control
Puig et al. A new algorithm for adaptive threshold generation in robust fault detection based on a sliding window and global optimization
KR101735158B1 (en) Method of monitoring process performed by fabrication apparatus of semiconductor device and monitoring system using the same
JP3926478B2 (en) Semiconductor manufacturing method
WO2023028345A1 (en) Systems and methods for adaptive troubleshooting of semiconductor manufacturing equipment
US6988225B1 (en) Verifying a fault detection result based on a process control state