JPWO2003036705A1 - 研磨剤、その製造方法及び研磨方法 - Google Patents

研磨剤、その製造方法及び研磨方法 Download PDF

Info

Publication number
JPWO2003036705A1
JPWO2003036705A1 JP2003539094A JP2003539094A JPWO2003036705A1 JP WO2003036705 A1 JPWO2003036705 A1 JP WO2003036705A1 JP 2003539094 A JP2003539094 A JP 2003539094A JP 2003539094 A JP2003539094 A JP 2003539094A JP WO2003036705 A1 JPWO2003036705 A1 JP WO2003036705A1
Authority
JP
Japan
Prior art keywords
polishing
abrasive
oxide
methyl
wiring
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003539094A
Other languages
English (en)
Other versions
JP4576117B2 (ja
Inventor
竹宮 聡
聡 竹宮
伯人 中沢
伯人 中沢
喜則 金
喜則 金
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seimi Chemical Co Ltd
AGC Inc
Original Assignee
Asahi Glass Co Ltd
Seimi Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asahi Glass Co Ltd, Seimi Chemical Co Ltd filed Critical Asahi Glass Co Ltd
Publication of JPWO2003036705A1 publication Critical patent/JPWO2003036705A1/ja
Application granted granted Critical
Publication of JP4576117B2 publication Critical patent/JP4576117B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Abstract

ベンゾトリアゾール等のヘテロサイクリックベンゼン化合物を、炭素数1〜4の1級アルコール、炭素数2〜4のグリコール、CH3CH(OH)CH2OCmH2m+1(mは1〜4の整数)で表されるエーテル、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上の有機溶媒に溶解した後、砥粒となる酸化物微粒子の水分散液と混合して研磨剤を得る。この研磨剤を、絶縁膜2上に配線金属膜4とバリア膜3が形成された基板の研磨に使用することにより、高研磨速度で低ディッシング、低エロージョン、低スクラッチの埋め込み配線5の形成が可能となる。

Description

技術分野
本発明は、半導体デバイス製造工程に用いられる研磨剤に関し、より詳しくはバリア膜材料としてタンタル系金属を用いた埋め込み金属配線の形成に好適な研磨剤及びそれを用いた基板の研磨方法に関する。
背景技術
近年、半導体集積回路の高集積化・高機能化にともない、微細化・高密度化のための微細加工技術の開発が求められている。半導体デバイス製造工程、特に多層配線形成工程においては、層間絶縁膜や埋め込み配線の平坦化技術が重要である。すなわち、半導体製造プロセスの微細化・高密度化により配線が多層化するにつれ、各層での表面の凹凸が大きくなりやすく、その段差がリソグラフィの焦点深度を越える等の問題を防ぐために、多層配線形成工程での高平坦化技術が重要となってくる。
配線材料としては、従来使われてきたAl合金に比べて比抵抗が低く、エレクトロマイグレーション耐性に優れることから、Cuが着目されている。Cuはその塩化物ガスの蒸気圧が低く、従来から用いられてきた反応性イオンエッチング法(RIE: Reactive Ion Etching)では配線形状への加工が難しいため、配線の形成にはダマシーン法(Damascene)が用いられる。これは絶縁膜に配線用の溝パターンやビア等の凹部を形成し、次にバリア膜を形成した後に、Cuを溝部に埋め込むようにスパッタ法やメッキ法等で成膜し、その後凹部以外の絶縁膜表面が露出するまで余分なCuとバリア膜を化学的機械的研磨法(CMP:Chemical Mechanical Polishing、以下CMPという。)で除去して、表面を平坦化する方法である。近年は、このように凹部にCuが埋め込まれたCu配線とビア部を同時に形成するデュアルダマシーン法(Dual Damascene)が主流となっている。
このようなCu埋め込み配線形成においては、Cuの絶縁膜中への拡散防止のために、バリア膜としてTa、タンタル合金又は窒化タンタル等のタンタル化合物が形成される。したがってCuを埋め込む配線部分以外では、露出したバリア膜をCMPにより取り除く必要がある。しかしバリア膜はCuに比べて非常に硬いために、十分な研磨速度が得られない場合が多い。そこで図1に示すように配線金属膜を除去する第1研磨工程とバリア膜を除去する第2研磨工程からなる2段階研磨法が提案されている。
図1は、埋め込み配線をCMPにより形成する方法を示す断面図であり、(a)は研磨前、(b)は配線金属膜4を除去する第1研磨工程の終了後、(c)はバリア膜3を除去する第2研磨工程終了後を示す。図1(a)に示すように、Si基板1上に埋め込み配線5を形成するための溝が形成された絶縁膜2が形成され、その上にバリア膜3、その上に配線金属膜4(Cu膜)が形成されており、第1研磨工程で配線金属膜4を、第2研磨工程でバリア膜3を除去する。
しかし、従来の研磨剤を用いたCMPでは、Cuの埋め込み配線5のディッシングやエロージョンが大きくなる問題があった。ここでディッシングとは、幅の広い配線部で発生しやすいもので、図2に示すように配線部の配線金属膜4が過剰に研磨され中央部が窪んだ状態をいう。エロージョンとは、密集した配線部で発生しやすいもので、図3に示すように配線密度の低い部分に比べ、密集した配線部の絶縁膜2が過剰に研磨され、絶縁膜2が薄くなる現象をいう。なお、図2、3においてはバリア膜3は省略している。
従来の研磨剤を用いた場合は、バリア膜3の研磨速度が配線金属膜4の研磨速度に対し非常に小さいため、バリア膜3を除去する間に配線部のCuが過剰に研磨されて大きなディッシングが生じていた。また、配線密度の低い部分に比べ、高密度配線部のバリア膜3やその下の絶縁膜2に加わる研磨圧力が相対的に高くなり、そのため第2研磨工程での研磨速度が配線密度により大幅に異なり、その結果高密度配線部の絶縁膜2が過剰に研磨されて、大きなエロージョンが生じていた。ディッシングやエロージョンが発生すると、配線抵抗の増加やエレクトロマイグレーションが起こりやすくなり、デバイスの信頼性を低下させる問題があった。
バリア膜として用いられるTaやタンタル化合物は化学的にはエッチングが難しく、またCuに比べて硬度が高いために、機械的にも研磨による除去は容易ではない。研磨速度を上げるために、砥粒の硬度を高くすると柔らかいCu配線にスクラッチが発生して、電気的不良などの問題が発生しやすい。また、砥粒の濃度を高めると、絶縁膜の研磨速度も同時に大きくなるため、大きなエロージョンが発生する。さらに研磨剤中での砥粒の分散状態を維持することが困難になり、経時的に沈降やゲル化が生じるなどの分散安定性の問題が生じる。
また、CMPにおいては研磨剤によるCuの腐食を防止する必要がある。Cu及び銅合金に対する腐食抑制剤の中でも最も効果的で広く利用されているものとして、ベンゾトリアゾール(以下、BTAという。)及びその誘導体が知られている(能登谷武紀,ベンゾトリアゾール系インヒビターの腐食抑制機構,日本防錆技術協会,1986,P.1)。BTAはCu及び銅合金表面に緻密な皮膜を形成し、酸化還元反応を抑制してエッチングを防止するために、Cu配線部のディッシングを防止するための研磨剤中への添加物として有効である。
例えば、特開平8−83780には、研磨剤にBTA又はその誘導体を含有させてCuの表面に保護膜を形成することにより、ディッシングを防止することが記載されている。しかしこの方法では、水への溶解度が低いBTAを研磨剤中へ十分な量添加するのは容易ではなく(溶解度1.98重量%・25℃)、また添加量が多くなると砥粒の分散液である研磨剤の分散のバランスをくずして経時的に砥粒の沈降が生じやすく、研磨剤の保存安定性が低い等の問題が生じていた。
また、金属膜を平坦化するための研磨剤として、特開平10−74764に砥粒としてコロイド状アルミナを含む酸化酸性スラリーが記載されている。しかし、この方法はバリア膜としてNbを研磨する場合には有効であるが、バリア膜にTa又はその合金を用いたCu配線の形成においては、研磨速度や仕上がった配線の平坦性が十分ではない。
さらに、特開平11−21546には酸化セリウム、アルミナ、シリカ、チタニア、ジルコニア等の金属酸化物砥粒と尿素と過酸化水素を含むスラリーからなる研磨剤が記載されている。しかしこの研磨剤は、バリア膜の研磨速度がCu配線の研磨速度に対し非常に小さいため、ディッシングが発生しやすいことやスラリーの安定性が悪い等の問題がある。
発明の開示
そこで本発明は、絶縁膜上に配線金属膜とバリア膜が形成された基板の研磨において、高い研磨速度でありながらディッシングやエロージョンの発生を抑制しつつ、スクラッチの少ない信頼性の高い電気特性に優れた埋め込み配線部の形成を可能とする金属を研磨するための研磨剤であって、砥粒が分散したスラリーからなり、経時的に沈殿やゲル化等を生じにくく十分に安定な研磨剤を提供することを目的とする。
本発明は基板を研磨するための化学的機械的研磨用研磨剤であって、下記(A)、(B)、(C)、(D)及び(E)を含有することを特徴とする研磨剤及び該研磨剤の製造方法を提供する。
(A)酸化物微粒子、
(B)酸化剤、
(C)式1で表される化合物(ただし、Rは水素原子、炭素数1〜4のアルキル基、炭素数1〜4のアルコキシ基又はカルボン酸基。)、
(D)水、
(E)炭素数1〜4の1級アルコール、炭素数2〜4のグリコール、式2で表されるエーテル(ただし、mは1〜4の整数。)、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上。
Figure 2003036705
また、本発明は、研磨剤を研磨定盤上の研磨パッドに供給し、被研磨面と接触させて、被研磨面と研磨パッドを相対運動させて行う研磨方法において、上記研磨剤を用いて、配線金属膜とバリア膜の形成された基板を研磨することを特徴とする研磨方法を提供する。
発明を実施するための最良の形態
以下、本発明の好適な実施の形態を説明する。本発明の研磨剤(以下、本研磨剤という。)は、半導体基板上に形成された配線金属膜及びバリア膜を研磨するためのCMP用研磨剤として好適である。特に、Ta、タンタル合金又は窒化タンタル等のタンタル化合物をバリア膜として凹部を有する絶縁膜上に形成し、その凹部を埋め込むように配線金属膜が形成された基板をCMPにより研磨して、埋め込み配線やビア等の電気的接続部を形成する工程において好適に使用ができる。本研磨剤は、配線金属膜の研磨とバリア膜の研磨を分ける2段階研磨法においては、バリア膜の露出した後に行う第2研磨工程において使用すると特に好適であるが、第1研磨工程で使用してもよい。
研磨剤中の成分(A)(酸化物微粒子)は研磨砥粒であり、具体的にはシリカ、アルミナ、酸化セリウム(セリア)、酸化ジルコニウム(ジルコニア)、酸化チタン(チタニア)、酸化スズ、酸化亜鉛、酸化ゲルマニウム及び酸化マンガンから選ばれる1種以上が好ましい。シリカとしては、種々の公知の方法で製造されるものを使用できる。例えば四塩化ケイ素を酸素と水素の火炎中で気相合成したヒュームドシリカやケイ酸ナトリウムをイオン交換したコロイダルシリカ又はケイ素アルコキシドを液相で加水分解したコロイダルシリカが挙げられる。同様にコロイダルアルミナも好ましく使用できる。また、液相法や気相法で製造した酸化セリウム、酸化ジルコニウム、酸化チタン、酸化スズ、酸化亜鉛も好ましく使用できる。なかでも、粒径の均一な高純度品を得ることができるコロイダルシリカが好ましい。
成分(A)の平均粒径は、研磨特性と分散安定性の点から、5〜500nmが好ましく、10〜300nmがより好ましい。また、本研磨剤中の成分(A)の濃度は、研磨剤全質量の0.5〜20%の範囲で研磨速度、均一性、材料選択性、分散安定性等を考慮して適宜設定することが好ましい。
成分(B)(酸化剤)は、バリア膜表面に酸化皮膜を形成させ、機械的な力で基板表面から酸化皮膜を除去することによりバリア膜の研磨を促進するために用いられる。成分(B)としては、過酸化水素、ヨウ素酸塩、過ヨウ素酸塩、次亜塩素酸塩、過塩素酸塩、過硫酸塩、過炭酸塩、過ホウ酸塩及び過リン酸塩から選ばれる1種以上が好ましい。ヨウ素酸塩、過ヨウ素酸塩、次亜塩素酸塩、過塩素酸塩、過硫酸塩、過炭酸塩、過ホウ酸塩及び過リン酸塩としては、アンモニウム塩や、カリウム塩等のアルカリ金属塩を使用できる。なかでも、アルカリ金属成分を含有せず、有害な副生成物を生じない過酸化水素が好ましい。
本研磨剤中の成分(B)の濃度は、研磨促進の十分な効果を得る点から研磨剤全質量の0.5〜20%の範囲で、研磨速度、研磨剤スラリーの均一性等を考慮して適宜設定することが好ましい。
成分(C)は、配線金属部のディッシングを防止するために配線金属表面に保護膜を形成する機能を有するものである。配線金属がCuからなる場合は、Cu表面に物理吸着又は化学吸着して皮膜を形成することによりCuの溶出を抑制するものであればよく、式1で表される化合物が挙げられる。ただし、式1中、Rは水素原子、炭素数1〜4のアルキル基、炭素数1〜4のアルコキシ基又はカルボン酸基である。
Figure 2003036705
具体的にはBTA、BTAのベンゼン環の4又は5位置のH原子一つがメチル基と置換されたトリルトリアゾール(TTA)、カルボン酸基で置換されたベンゾトリアゾール−4−カルボン酸等が挙げられる。これらは単独で使用してもよく、2種以上を混合して使用してもよい。成分(C)は、研磨特性の点から研磨剤の全質量に対し、0.001〜5%含まれることが好ましく、0.002〜0.5%含まれることがより好ましい。
本研磨剤においては、砥粒である成分(A)は主に水(成分D)に分散させて用いるが、成分(C)は水に対する溶解度が低いので、水と又は成分(A)を水に分散させた分散液と直接混合すると、研磨剤の分散安定性が低下しやすい。そこで本発明の研磨剤の製造方法では、成分(A)を水に分散させた分散液と混合する前に成分(C)を高濃度で溶解できる有機溶媒に溶解してから当該分散液と混合することが好ましい。上記有機溶媒として本発明では成分(E)を用いている。例えば、BTAの場合、水への溶解度が1.98重量%であるのに対し、メチルアルコールでは71.6重量%、イソプロピルアルコール(以下、IPAという。)では53.9重量%、エチレングリコールでは50.7重量%である(いずれも25℃において)。成分(E)に予め成分(C)を溶解した後、成分(A)が分散した分散液に添加することで、製造プロセス上も局所的偏析を防ぎながら安定に研磨剤を製造できる。
成分(E)は、炭素数1〜4の1級アルコール、炭素数2〜4のグリコール及びCHCH(OH)CHO−C2m+1(式2)で表されるエーテル(ただし、mは1〜4の整数。)、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上である。具体的には、1級アルコールとしては、メチルアルコノール、エチルアルコール、IPAが好ましい。
グリコールとしては、エチレングリコール(以下、EGという。)プロピレングリコール(以下、PGという。)が好ましい。エーテルとしては、プロピレングリコールメチルエーテル(以下、PGMという。)、プロピレングリコールエチルエーテル(以下、PGEという。)が好ましい。
また、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン、炭酸プロピレンは、25℃における比誘電率が30〜65の範囲の極性溶媒であり、溶媒和により電解質を高濃度で溶解できる。すなわち、これらの溶媒は成分(C)を高濃度で溶解した溶液を得られるため、上述の成分(A)が水に分散した分散液に上記溶液を添加する製造プロセスでも有効である。
また、成分(E)は、本研磨剤の流動性を制御する機能を有する。研磨剤を研磨定盤上の研磨パッドに供給して研磨面と接触させ、被研磨面と研磨パッドを相対運動させて行う研磨方法においては、研磨剤を被研磨面と研磨パッドの間に効率よく供給し、かつ削りくずを効率よく排出することが重要である。例えば、研磨剤の表面張力が高い場合や粘度が高い場合は、効率よく供給しかつ排出することができず、研磨速度も低下する。本研磨剤には、表面張力の高い水(成分D)が含まれるため、その流動性を調整するためには成分(E)が必要である。
上述した成分(E)のなかでも、特にメチルアルコール、エチルアルコール、エチレングリコール及びN−メチル−2−ピロリドンからなる群から選ばれる1種以上が、研磨特性及び研磨剤組成物の分散安定性の点で好ましい。
また、成分(E)は、研磨特性と分散安定性の点から研磨剤の全質量に対し、0.01〜50%含まれることが好ましく、0.5〜30%含まれることがより好ましい。
成分(D)の水は、本研磨剤中に本研磨剤全質量の40〜98%、特に60〜90%含まれることが好ましい。上述のとおり、水は成分(E)とともに本研磨剤の流動性を制御する機能を有するので、水の含有量は研磨速度、平坦化特性等の目標とする研磨特性に合わせて適宜設定する。
また、本研磨剤には成分(A)として酸化物微粒子が含まれている。酸化物微粒子には表面水酸基が存在し、一般に粒径が小さくなるほどよりその活性が高く、凝集やゲル化による経時変化が生じやすい。ところが本発明者らは、成分(E)を含有させることにより研磨剤の凝集やゲル化を抑制でき、研磨剤が長期に渡って初期の研磨特性を維持できることを見出した。長期に渡って分散安定状態を維持した研磨剤を用いることにより、バリア膜の研磨速度を高速度に保ちながら、ディッシングやエロージョンが小さく、かつスクラッチが少なく信頼性の高い電気特性に優れた埋め込み配線部の形成が可能となる。
本研磨剤には、成分(A)〜(E)のほかに酸が含まれることが好ましい。酸としては、硝酸、硫酸及びカルボン酸から選ばれる1種以上が好ましい。なかでも、酸化力のあるオキソ酸であり、ハロゲンを含まない硝酸が好ましい。また、本研磨剤中の酸の濃度は、研磨剤全質量の0.01〜20%が好ましい。
また本研磨剤を所定のpHに調整するために、酸と同時に本研磨剤中にアルカリ性の化合物を添加してもよい。該アルカリ性の化合物としては、アンモニア、水酸化カリウム、又はテトラメチルアンモニウムヒドロキシドやテトラエチルアンモニウムヒドロキシド(以下、TEAHという。)のような4級アンモニウムヒドロキシド等が使用できる。アルカリ金属を含まない方が望ましい場合には、アンモニアが好適である。
研磨剤の研磨特性と分散安定性を考慮すると、本研磨剤のpHは3〜9に制御することが好ましい。特に砥粒としてシリカを使用する場合は、pH3〜5又はpH7〜9が好ましい。これらのpH範囲であると研磨剤中でシリカが安定である。いずれのpHを選択するかについては、目標とするCu、絶縁膜及びTaの研磨速度の選択比により使い分ける。
PHを調整するために、研磨剤中にpH緩衝剤を使用してもよい。該pH緩衝剤としては一般のpH緩衝能がある物質ならば使用できるが、多価カルボン酸であるコハク酸、クエン酸、シュウ酸、フタル酸、酒石酸及びアジピン酸から選ばれる1種以上が好ましい。また、グリシルグリシンや炭酸アルカリも使用できる。配線金属がCuである場合は、Cuの研磨速度を抑制しディッシングを防止する点から、Cuとの錯化係数がクエン酸ほど高くないコハク酸が好ましい。なお、本研磨剤中のpH緩衝剤の濃度は、研磨剤全質量の0.01〜10%が好ましい。
本研磨剤は、配線金属膜とバリア膜が形成された基板を研磨するのに好適であるが、この場合特にバリア膜がTa、タンタル合金又はタンタル化合物から選ばれる1種以上からなる膜を研磨する場合に高い効果が得られる。しかし、他の金属等からなる膜に対しても適用でき、バリア膜としてTa以外の金属又は金属化合物、例えばTi、TiN、TiSiN、WN等からなる膜を用いた場合も、同様の効果を得られる。
また、本研磨剤は、配線金属膜がCu、銅合金及び銅化合物から選ばれる1種以上の場合に高い効果が得られるが、Cu以外の金属、例えばAl、W、Ag、Pt、Au等の金属膜に対して用いても同様の効果が得られる。また、上記基板における絶縁膜としては二酸化ケイ素又はSiOFからなる膜、有機SOG(Spin on glassにより得られる有機成分を含む膜)、ポーラスシリカ等の低誘電率材料からなる膜が挙げられる。
本発明の研磨方法は、前記研磨剤を研磨定盤上の研磨パッドに供給し、被研磨面と接触させて被研磨面と研磨パッドを相対運動させて行う研磨方法である。必要により、パッドコンディショナーを研磨パッドの表面に接触させて、研磨パッド表面のコンディショニングを行いながら研磨してもよい。
本研磨剤は、絶縁膜に配線用の溝パターンやビア等の凹部を形成し、次にバリア膜を形成した後に、Cuを溝部に埋め込むようにスパッタ法やメッキ法等で成膜した基板において、凹部以外の絶縁膜表面が露出するまでCuとバリア膜をCMPで除去して、埋め込み金属配線を形成する方法に好適に用いられる。すなわち、図1に示すような2段階の研磨工程において、図1(b)の状態から図1(c)の状態まで研磨する第2研磨工程で使用すると、ディッシングやエロージョンが形成されにくく好適である。
以下に本発明を、実施例(例1〜11、14〜19、22〜24)及び比較例(例12、13、20、21)によりさらに具体的に説明するが、本発明はこれらにより限定されない。
[研磨剤の調製]
純水に酸とアルカリ及びpH緩衝剤を加えて10分間撹拌した(a液)。次に成分(C)を有機溶媒(成分(E))に溶解した(b液)。そして、b液をa液に添加後、さらに10分撹拌した(c液)。
次に酸化物微粒子(成分(A))の分散液をc液に徐々に添加後、アルカリ性化合物を徐々に添加して、pHを調整した。さらに酸化剤の水溶液を添加して30分間撹拌して、研磨剤を得た。各例において使用した成分(C)と成分(E)と成分(A)の種類とそれらの研磨剤全質量に対する濃度(%)、及び研磨剤のpHを表1に、使用した酸化剤、酸、アルカリ及びpH緩衝剤の種類とそれらの研磨剤全質量に対する濃度を表2にそれぞれ示す。
[研磨条件]
研磨は、以下の装置及び条件で行った。
研磨機:全自動CMP装置MIRRA(APPLIED MATERIALS社製)研磨圧:20kPa、回転数:プラテン(定盤)103rpm、ヘッド(基板保持部)97rpm、研磨剤供給速度:200ミリリットル/分、研磨パッド:IC1000(ロデール社製)。
[被研磨物]
(ブランケットウェハ)
(1)Cu(配線金属膜)研磨速度評価用ウェハ:基板上に厚さ1500nmのCu層をメッキで成膜した8インチウェハ。
(2)Ta(バリア膜)研磨速度評価用ウェハ:基板上に厚さ200nmのTa層をスパッタで成膜した8インチウェハ。
(3)SiO(絶縁膜)研磨速度評価用ウェハ:基板上に厚さ800nmのSiO層をプラズマCVDで成膜した8インチウェハ((1)〜(3)はいずれもSematech製)。
(パターンウェハ)
基板上に形成された絶縁膜に対し、配線密度50%で、配線幅5μm及び50μmの配線パターンを形成し、その配線パターンの形成された絶縁膜の上に、厚さ25nmのTa層をスパッタで成膜し、さらにその上に厚さ1500nmのCu層をメッキで成膜した8インチウェハ(商品名:831CMP000、Sematech製)。
[研磨剤の特性評価方法]
配線金属膜、バリア膜、絶縁膜それぞれの研磨速度の評価には、ブランケットウェハを使用し、ディッシング、エロージョンの評価にはパターンウェハを使用した。パターンウェハの研磨においては、配線金属膜を除去する第1研磨工程とバリア膜を除去する第2研磨工程からなる2段階研磨法を行った。第1研磨工程用の研磨剤には、アルミナ、過酸化水素、クエン酸、ポリアクリル酸アンモニウム及び水が研磨剤全質量に対しそれぞれ、3%、4%、0.1%、0.05%及び92.85で構成される研磨剤を使用した。なお、実施例、比較例ともに第1研磨工程ではすべて上記研磨剤を用いた。
第1研磨工程後に絶縁膜上のTaが露出した段階で、配線幅5μmの位置のディッシングは40nm、配線幅50μmの位置のディッシングは60nmであり、エロージョンはいずれの配線幅でも0nmであった。その後、絶縁膜上のTaが消失するまで、表1及び表2に示された組成の各例の研磨剤を用いて第2研磨工程を実施した。
研磨特性については次の方法で評価した。研磨速度は、研磨前後の膜厚から算出した。膜厚の測定には、CuとTaについては四探針法による表面抵抗から算出するシート抵抗測定装置RS75(KLAテンコール社製)を用い、絶縁膜については光干渉式全自動膜厚測定装置UV1280SE(KLAテンコール社製)を用いた。ディッシングとエロージョンの平坦化特性については、触針式で段差を測定する高解像度プロファイラHRP100(KLAテンコール社製)を用いた。
研磨剤の分散安定性については、調製直後と一ヵ月後の平均粒径の変化により評価した。平均粒径はマイクロトラックUPA(日機装社製)で測定した。平均粒径の増加が50%以内であったものを○、それより大きかったものを×で示した。
表3にCu、Ta、SiOの各膜の研磨速度(単位はnm/分)を、表4にディッシングとエロージョンそれぞれによる段差(単位はnm)と研磨剤の分散安定性を示す。
Figure 2003036705
Figure 2003036705
Figure 2003036705
Figure 2003036705
産業上の利用の可能性
本発明の研磨剤を用いると、絶縁膜上に配線金属膜とバリア膜が形成された基板の研磨において、高い研磨速度でありながらディッシングやエロージョンの発生を抑制しつつ、スクラッチの少ない信頼性の高い電気特性に優れた埋め込み配線部の形成が可能である。さらに、本発明の研磨剤は経時的に沈殿やゲル化等を生じにくく、分散安定性にも優れている。
【図面の簡単な説明】
Fig.1 CMPによる埋め込み配線の形成方法を示す工程断面図である。
(a)研磨前、(b)配線金属膜を除去する第1研磨工程終了後、(c)バリア膜を除去する第2研磨工程終了後。
Fig.2 ディッシングの形成過程を示す断面図である。
(a)研磨前、(b)研磨後。
Fig.3 エロージョンの形成過程を示す断面図である。
(a)研磨前、(b)研磨後。

Claims (11)

  1. 基板を研磨するための化学的機械的研磨用研磨剤であって、下記(A)、(B)、(C)、(D)及び(E)を含有することを特徴とする研磨剤。
    (A)酸化物微粒子、
    (B)酸化剤、
    (C)式1で表される化合物(ただし、Rは水素原子、炭素数1〜4のアルキル基、炭素数1〜4のアルコキシ基又はカルボン酸基。)、
    (D)水、
    (E)炭素数1〜4の1級アルコール、炭素数2〜4のグリコール、式2で表されるエーテル(ただし、mは1〜4の整数。)、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上。
    Figure 2003036705
  2. 酸及びpH緩衝剤をさらに含み、pHが2〜9である請求の範囲1に記載の研磨剤。
  3. 前記(A)が、シリカ、アルミナ、酸化セリウム、酸化ジルコニウム、酸化チタン、酸化スズ、酸化ゲルマニウム、酸化亜鉛及び酸化マンガンからなる群から選ばれる1種以上である請求の範囲1又は2に記載の研磨剤。
  4. 前記(E)が、メチルアルコール、エチルアルコール、イソプロピルアルコール、エチレングリコール、プロピレングリコール、プロピレングリコールメチルエーテル、プロピレングリコールエチルエーテル、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上である請求項1〜3のいずれかに記載の研磨剤。
  5. 研磨剤の全質量に対し、前記(E)が0.01〜50質量%含まれ、かつ前記(C)が0.001〜5質量%含まれる請求の範囲1〜4のいずれかに記載の研磨剤。
  6. (A)酸化物微粒子と、(B)酸化剤と、(C)式1で表される化合物と、(D)水と、(E)炭素数1〜4の1級アルコール、炭素数2〜4のグリコール、式2で表されるエーテル(ただし、mは1〜4の整数。)、N−メチル−2−ピロリドン、N、N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上の有機溶媒とを含有する、基板を研磨するための化学的機械的研磨用研磨剤の製造方法であって、前記(C)を前記(E)に溶解した後、前記(A)を水に分散させた分散液と混合することを特徴とする研磨剤の製造方法。
    Figure 2003036705
  7. 酸及びpH緩衝剤を添加し、pHを2〜9とする請求の範囲6に記載の研磨剤の製造方法。
  8. 前記(A)が、シリカ、アルミナ、酸化セリウム、酸化ジルコニウム、酸化チタン、酸化スズ、酸化ゲルマニウム、酸化亜鉛及び酸化マンガンからなる群から選ばれる1種以上である請求の範囲6又は7に記載の研磨剤の製造方法。
  9. 前記(E)が、メチルアルコール、エチルアルコール、イソプロピルアルコール、エチレングリコール、プロピレングリコール、プロピレングリコールメチルエーテル、プロピレングリコールエチルエーテル、N−メチル−2−ピロリドン、N,N−ジメチルホルムアミド、ジメチルスルホキシド、γ−ブチロラクトン及び炭酸プロピレンからなる群から選ばれる1種以上である請求の範囲6〜8のいずれかに記載の研磨剤の製造方法。
  10. 研磨剤を研磨定盤上の研磨パッドに供給し、被研磨面と接触させて、被研磨面と研磨パッドを相対運動させて行う研磨方法において、請求の範囲1〜5のいずれかに記載の研磨剤を用いて、配線金属膜とバリア膜が形成された基板を研磨することを特徴とする研磨方法。
  11. 前記バリア膜は、タンタル、タンタル合金又はタンタル化合物からなり、前記配線金属膜は、銅、銅合金又は銅化合物からなる請求の範囲10に記載の研磨方法。
JP2003539094A 2001-10-26 2002-10-23 研磨剤、その製造方法及び研磨方法 Expired - Fee Related JP4576117B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2001329148 2001-10-26
JP2001329148 2001-10-26
JP2001353207 2001-11-19
JP2001353207 2001-11-19
PCT/JP2002/010996 WO2003036705A1 (fr) 2001-10-26 2002-10-23 Procede et compose de polissage, et son procede de production

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010131771A Division JP2010251778A (ja) 2001-10-26 2010-06-09 研磨剤及び研磨方法

Publications (2)

Publication Number Publication Date
JPWO2003036705A1 true JPWO2003036705A1 (ja) 2005-02-17
JP4576117B2 JP4576117B2 (ja) 2010-11-04

Family

ID=26624134

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2003539094A Expired - Fee Related JP4576117B2 (ja) 2001-10-26 2002-10-23 研磨剤、その製造方法及び研磨方法
JP2010131771A Pending JP2010251778A (ja) 2001-10-26 2010-06-09 研磨剤及び研磨方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010131771A Pending JP2010251778A (ja) 2001-10-26 2010-06-09 研磨剤及び研磨方法

Country Status (9)

Country Link
US (1) US7854777B2 (ja)
EP (1) EP1445796B1 (ja)
JP (2) JP4576117B2 (ja)
KR (2) KR100939472B1 (ja)
CN (1) CN1306562C (ja)
AT (1) ATE386786T1 (ja)
DE (1) DE60225171T2 (ja)
TW (1) TWI231821B (ja)
WO (1) WO2003036705A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102925060A (zh) * 2012-11-09 2013-02-13 济南大学 一种大理石复合抛光粉的制备方法

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1833085A1 (en) * 1998-12-28 2007-09-12 Hitachi Chemical Company, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
US20050050803A1 (en) 2001-10-31 2005-03-10 Jin Amanokura Polishing fluid and polishing method
US20100009540A1 (en) * 2002-09-25 2010-01-14 Asahi Glass Company Limited Polishing compound, its production process and polishing method
JPWO2004030062A1 (ja) * 2002-09-25 2006-01-26 セイミケミカル株式会社 研磨剤組成物、その製造方法及び研磨方法
KR20060024775A (ko) * 2003-05-12 2006-03-17 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 제2단계 구리 라이너 및 관련된 물질을 위한 cmp조성물및 그 이용방법
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
JP2005294798A (ja) * 2004-03-08 2005-10-20 Asahi Glass Co Ltd 研磨剤および研磨方法
US7846349B2 (en) * 2004-12-22 2010-12-07 Applied Materials, Inc. Solution for the selective removal of metal from aluminum substrates
US7446046B2 (en) * 2005-01-06 2008-11-04 Intel Corporation Selective polish for fabricating electronic devices
US7294044B2 (en) * 2005-04-08 2007-11-13 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US7467988B2 (en) * 2005-04-08 2008-12-23 Ferro Corporation Slurry composition and method for polishing organic polymer-based ophthalmic substrates
US7452481B2 (en) * 2005-05-16 2008-11-18 Kabushiki Kaisha Kobe Seiko Sho Polishing slurry and method of reclaiming wafers
TWI271555B (en) * 2005-06-13 2007-01-21 Basf Ag Slurry composition for polishing color filter
US20080171441A1 (en) * 2005-06-28 2008-07-17 Asahi Glass Co., Ltd. Polishing compound and method for producing semiconductor integrated circuit device
EP1914286A4 (en) * 2005-08-04 2009-07-01 Asahi Glass Co Ltd POLISHING COMPOSITION AND POLISHING METHOD
WO2007029465A1 (ja) * 2005-09-09 2007-03-15 Asahi Glass Company, Limited 研磨剤、被研磨面の研磨方法および半導体集積回路装置の製造方法
US20070068902A1 (en) * 2005-09-29 2007-03-29 Yasushi Matsunami Polishing composition and polishing method
US7763312B2 (en) * 2006-04-17 2010-07-27 Elantas Pdg, Inc. Dispersion of nano-alumina in a resin or solvent system
JP5725145B2 (ja) * 2006-10-11 2015-05-27 日立化成株式会社 金属用研磨液とその製造方法及び金属用研磨液を用いた被研磨膜の研磨方法
WO2009056491A1 (en) * 2007-10-29 2009-05-07 Basf Se Cmp slurry composition and process for planarizing copper containing surfaces provided with a diffusion barrier layer
JPWO2009096495A1 (ja) 2008-02-01 2011-05-26 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
FR2932108B1 (fr) * 2008-06-10 2019-07-05 Soitec Polissage de couches de germanium
US8398779B2 (en) * 2009-03-02 2013-03-19 Applied Materials, Inc. Non destructive selective deposition removal of non-metallic deposits from aluminum containing substrates
US8551887B2 (en) 2009-12-22 2013-10-08 Air Products And Chemicals, Inc. Method for chemical mechanical planarization of a copper-containing substrate
WO2011122415A1 (ja) * 2010-03-29 2011-10-06 旭硝子株式会社 研磨剤、研磨方法および半導体集積回路装置の製造方法
TWI605112B (zh) * 2011-02-21 2017-11-11 Fujimi Inc 研磨用組成物
JP6542766B2 (ja) * 2013-10-23 2019-07-10 ドンジン セミケム カンパニー リミテッドDongjin Semichem Co., Ltd. 金属膜研磨スラリー組成物、及びこれを利用した金属膜研磨時に発生するスクラッチの減少方法
JP6327746B2 (ja) * 2014-03-31 2018-05-23 株式会社フジミインコーポレーテッド 研磨用組成物
CN104263249B (zh) * 2014-09-26 2016-06-29 深圳市力合材料有限公司 一种硅溶胶的处理方法
JP6652638B2 (ja) * 2016-06-03 2020-02-26 富士フイルム株式会社 研磨液、及び化学的機械的研磨方法
JP7010229B2 (ja) * 2016-09-21 2022-01-26 昭和電工マテリアルズ株式会社 スラリ及び研磨方法
CN109280492A (zh) * 2017-07-21 2019-01-29 天津西美科技有限公司 一种磷化铟晶片抛光液
US20190153262A1 (en) * 2017-11-20 2019-05-23 Cabot Microelectronics Corporation Composition and method for polishing memory hard disks exhibiting reduced surface scratching
CN109746771B (zh) * 2019-02-14 2020-11-20 南京航空航天大学 一种CsPbX3无机钙钛矿晶体材料的抛光方法
TWI804925B (zh) * 2020-07-20 2023-06-11 美商Cmc材料股份有限公司 矽晶圓拋光組合物及方法
CN112142630B (zh) * 2020-10-28 2022-06-28 雅邦绿色过程与新材料研究院南京有限公司 一种从半导体工业废液中回收二甲基亚砜的方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140526A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 配線形成方法及び半導体装置の製造方法
WO1999047618A1 (en) * 1998-03-18 1999-09-23 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
WO2000039844A1 (en) * 1998-12-28 2000-07-06 Hitachi Chemical Company, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
JP2001185515A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd 研磨方法、配線形成方法、半導体装置の製造方法及び半導体集積回路装置
JP2001267273A (ja) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd 金属用研磨材、研磨組成物及び研磨方法

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US589509A (en) * 1897-09-07 Electrical igniter for gas-engines
US5700383A (en) * 1995-12-21 1997-12-23 Intel Corporation Slurries and methods for chemical mechanical polish of aluminum and titanium aluminide
JPH10166258A (ja) 1996-12-06 1998-06-23 Tadahiro Omi 研磨剤組成物
US5897375A (en) 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
JP2002528903A (ja) * 1998-10-23 2002-09-03 アーチ・スペシャルティ・ケミカルズ・インコーポレイテッド 活性剤溶液を含有し、化学機械的に磨くためのスラリーシステム
JP4156137B2 (ja) 1999-07-19 2008-09-24 株式会社トクヤマ 金属膜用研磨剤
US6348076B1 (en) * 1999-10-08 2002-02-19 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
TW572980B (en) * 2000-01-12 2004-01-21 Jsr Corp Aqueous dispersion for chemical mechanical polishing and chemical mechanical polishing process
US6355075B1 (en) * 2000-02-11 2002-03-12 Fujimi Incorporated Polishing composition
JP2001269859A (ja) * 2000-03-27 2001-10-02 Jsr Corp 化学機械研磨用水系分散体
JP2002050595A (ja) * 2000-08-04 2002-02-15 Hitachi Ltd 研磨方法、配線形成方法及び半導体装置の製造方法
US6569349B1 (en) * 2000-10-23 2003-05-27 Applied Materials Inc. Additives to CMP slurry to polish dielectric films
US6623355B2 (en) * 2000-11-07 2003-09-23 Micell Technologies, Inc. Methods, apparatus and slurries for chemical mechanical planarization
US6740589B2 (en) * 2000-11-30 2004-05-25 Showa Denko Kabushiki Kaisha Composition for polishing semiconductor wafer, semiconductor circuit wafer, and method for producing the same
US20020104269A1 (en) * 2001-01-26 2002-08-08 Applied Materials, Inc. Photochemically enhanced chemical polish
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
US6812193B2 (en) * 2001-08-31 2004-11-02 International Business Machines Corporation Slurry for mechanical polishing (CMP) of metals and use thereof
US6620215B2 (en) * 2001-12-21 2003-09-16 Dynea Canada, Ltd. Abrasive composition containing organic particles for chemical mechanical planarization
US20030168627A1 (en) * 2002-02-22 2003-09-11 Singh Rajiv K. Slurry and method for chemical mechanical polishing of metal structures including refractory metal based barrier layers

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1140526A (ja) * 1997-07-22 1999-02-12 Hitachi Ltd 配線形成方法及び半導体装置の製造方法
WO1999047618A1 (en) * 1998-03-18 1999-09-23 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
WO2000039844A1 (en) * 1998-12-28 2000-07-06 Hitachi Chemical Company, Ltd. Materials for polishing liquid for metal, polishing liquid for metal, method for preparation thereof and polishing method using the same
JP2001185515A (ja) * 1999-12-27 2001-07-06 Hitachi Ltd 研磨方法、配線形成方法、半導体装置の製造方法及び半導体集積回路装置
JP2001267273A (ja) * 2000-01-11 2001-09-28 Sumitomo Chem Co Ltd 金属用研磨材、研磨組成物及び研磨方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102925060A (zh) * 2012-11-09 2013-02-13 济南大学 一种大理石复合抛光粉的制备方法

Also Published As

Publication number Publication date
KR100952870B1 (ko) 2010-04-13
ATE386786T1 (de) 2008-03-15
CN1572017A (zh) 2005-01-26
KR20100009581A (ko) 2010-01-27
JP4576117B2 (ja) 2010-11-04
CN1306562C (zh) 2007-03-21
EP1445796A1 (en) 2004-08-11
US7854777B2 (en) 2010-12-21
EP1445796B1 (en) 2008-02-20
DE60225171T2 (de) 2008-06-05
EP1445796A4 (en) 2004-11-17
TWI231821B (en) 2005-05-01
JP2010251778A (ja) 2010-11-04
WO2003036705A1 (fr) 2003-05-01
KR20040052221A (ko) 2004-06-22
KR100939472B1 (ko) 2010-01-29
US20040194392A1 (en) 2004-10-07
DE60225171D1 (de) 2008-04-03

Similar Documents

Publication Publication Date Title
JP4576117B2 (ja) 研磨剤、その製造方法及び研磨方法
EP1724819B1 (en) Polishing agent and polishing method
KR101069472B1 (ko) 칼코게나이드 물질의 화학 기계적 평탄화 방법
US9200180B2 (en) Chemical-mechanical planarization composition having benzenesulfonic acid and per-compound oxidizing agents, and associated method for use
JP4206233B2 (ja) 研磨剤および研磨方法
EP1909312A1 (en) Abrasive and process for producing semiconductor integrated-circuit unit
JPWO2008132983A1 (ja) 研磨剤組成物および半導体集積回路装置の製造方法
US20080171441A1 (en) Polishing compound and method for producing semiconductor integrated circuit device
US20080148652A1 (en) Compositions for chemical mechanical planarization of copper
JP2005167219A (ja) バリヤ除去のための組成物及び方法
US20090061630A1 (en) Method for Chemical Mechanical Planarization of A Metal-containing Substrate
JP2004363574A (ja) 化学機械研磨剤キットおよびこれを用いた化学機械研磨方法
JP2010010717A (ja) 研磨剤および研磨方法
JP2009272418A (ja) 研磨剤組成物および半導体集積回路装置の製造方法
KR101203599B1 (ko) 구리 함유 기판의 화학 기계적 평탄화를 위한 방법
JP2007013059A (ja) Cmp用研磨組成物
JP2006191132A (ja) 化学機械研磨用研磨剤及び基板の研磨法

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20050719

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050725

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050725

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20050719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080805

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081003

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090609

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090805

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100609

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20100628

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100817

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100823

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130827

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130827

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130827

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees