JPS62265724A - 誘電体エツチング停止材を用いたフレ−ムなしのビア開口形成法 - Google Patents

誘電体エツチング停止材を用いたフレ−ムなしのビア開口形成法

Info

Publication number
JPS62265724A
JPS62265724A JP62068167A JP6816787A JPS62265724A JP S62265724 A JPS62265724 A JP S62265724A JP 62068167 A JP62068167 A JP 62068167A JP 6816787 A JP6816787 A JP 6816787A JP S62265724 A JPS62265724 A JP S62265724A
Authority
JP
Japan
Prior art keywords
layer
metal oxide
dielectric layer
insulating metal
opening
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP62068167A
Other languages
English (en)
Inventor
マンジン・ジェローム・キム
ブルース・フレデリック・グリフィング
デビッド・ウィリアム・スケリイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
General Electric Co
Original Assignee
General Electric Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by General Electric Co filed Critical General Electric Co
Publication of JPS62265724A publication Critical patent/JPS62265724A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/06Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising selenium or tellurium in uncombined form other than as impurities in semiconductor bodies of other materials
    • H01L21/14Treatment of the complete device, e.g. by electroforming to form a barrier
    • H01L21/145Ageing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76885By forming conductive members before deposition of protective insulating material, e.g. pillars, studs
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/97Specified etch stop material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Gerontology & Geriatric Medicine (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 発明の背景 本発明は、大規模集積回路デバイス中にビア(via)
開口を形成する技術に関するものである。
更に詳しく言えば、本発明は酸化アルミニウムのような
金属酸化物をエツチング停止材として使用する方法に関
する。
超大規模集積回路(VLSI)デバイスの製造に際して
は、÷1r1成要素の寸法をできるだけ小さくすること
、好ましくは1ミクロン未満にまで小さくすることが望
まれる。このようにデバイスの寸法が縮小されれば、回
路チップ設計者は単一のチップ」二に一層多くの7ヒ気
回路や論理機能を組込むことが可能になる。またデバイ
スの寸法が縮小されると、隣接するデバイス間の距離を
減少させてデバイス間の伝搬遅延を減少させることがで
きるので、デバイスの動作速度を増大させることもてき
る。
しかし、デバイスの寸法を実際に縮小するには数多くの
問題がある。特に、デバイスのパターン形成時における
種々のホトマスクの使用に際しては位置合せの問題が生
じるため、VLSIデバイス中の相異なる層間にビア開
口を設ける場合には通常とア開口の周囲にrフレーム(
rrame) Jを使用する必要があることである。か
かるフレームは、金属配線パターン中に幅の広い区域と
して存在するのが普通である。このようなフレームは、
マスクの位置合せに狂いが生じた場合でも、誘電体層中
のとア開口を介して上側および下側の金属配線層間を確
実に接続するために設けられる。しかしながら、このビ
ア開日用フレームはチップ内に余分な面積を必要とする
ばかりでなく、金属配線パターンの線間の距M(線ピッ
チ)を増大させることにもなる。また、かかるビア開口
用フレームは、マスクの位置ずれが生じた場合でも金属
配線層間の確実な電気的接続を達成するのに十分な寸法
を有することが要求される。その結果、ビア開口用フレ
ームの寸法はデバイスの全体の寸法を縮小しようとする
場合の制限因子となることが認められる。
フレームなしのビア開口を用いて接続を行なうことによ
って配線のレイアウト密度を増大させようとする従来の
技術においては、一般に、酸化シリコンのごとき材料中
に埋込まれたフレームなしの金属線に向かってエツチン
グを施すことによりビア開口が形成されてきた。その場
合、必要なビア開口の深さはどの層に接続を行なうかに
依存し、また上側に位置する誘電体層を平坦化した後で
は目的の層の深さが位置に応じて異なるのが通例である
から、様々な深さのビア開口を同一のエツチング工程に
よって形成しなければならないことになる。更にまた、
フレームなしの構造の場合、ビア開口が常に下側の導体
と正確に整合しているとは限らないので、下側の導体の
縁を越えた部分がエツチングを受けることがよくある。
このことはまた、不満足な層間接続の原因となる場合も
多い。
その上、エツチング停止材として使用される窒化物やオ
キシ窒化物の選択性は十分に高いとは言えず、そのため
過度のエツチングを効果的に防止することができない。
発明の要約 本発明の好適な実施の態様に従えば、特に集積回路デバ
イスの製造に際してビア開口を形成するための方法が提
供される。かかる方法は2つの工程から成るが、最初の
工程は誘電体層にエツチングを施して開口を形成するこ
とである。かかる第1のエツチングは選択的なものでな
ければならない。すなわち、第1のエツチングは誘電体
層の下側に位置する酸化アルミニウム層に到達するまで
行なわれ、酸化アルミニウム層を越えて進行しないよう
にする。続く第2のエツチングにおいては、導電層に達
するまで酸化アルミニウムを除去し、この結果誘電体層
および酸化アルミニウム層中に形成された開口により下
側の導電層を露出させる。
なお、酸化アルミニウム層が薄いものである限り、かか
る第2のエツチングは下側の導電層に対して選択的なも
のである必要はない。本発明の実施に際して使用される
エツチング停止材としては酸化アルミニウムが好適であ
るが、酸化マグネシウムのごとき他種の絶縁性金属酸化
物もまた使用し得ることを理解すべきである。更にまた
、酸化アルミニウムと酸化マグネシウムとの混合物(す
なわちスピネル)も使用可能である。エツチング停止材
として使用される絶縁性金属酸化物は、それを設置する
基板に類似した機械的性質(特に熱膨張特性)を有する
ことが望ましい。
本発明の別の実施の態様に従えば、集積回路デバイスの
製造に際してビア開口を形成するための方法が提供され
る。かかる方法は一連の工程から成るが、最初の工程は
絶縁性基板上に導電層を設置してパターン形成を施すこ
とである。次に、パターン形成済みの導電層が第1の誘
電体層で被覆される。その後、少なくとも導電層のレベ
ルに到達するまで第1の誘電体層が平坦化され、そして
平坦化によって得られた構造物上に酸化アルミニウム層
が設置される。次に、酸化アルミニウム層上に第2の誘
電体層を設置してパターン形成を施すことにより、パタ
ーン形成済みの導電層の対応部分と少なくとも部分的に
重なるように配置された開口が第2の誘電体層中に形成
される。こうして第2の誘電体層中に形成された開口は
酸化アルミニウム層にまで到達している。次に、第2の
誘′氾体層中の開口を通して露出された酸化アルミニウ
ムを除去することによって酸化アルミニウム層中に開口
が形成される。その後、好ましくは第2の導電層を設置
してパターン形成を施すことにより、第2の誘電体層中
の開口およびそれに対応する酸化アルミニウム層中の開
口を通して下側の導電層に対する選択的な接続が達成さ
れる。
従って本発明の目的の1つは、とア開口の形成に際して
使用される材料に適合したエツチング停止材を提供する
ことにある。
また、位置のずれた、とア開口や過大な寸法のビア開口
による下側の酸化膜の過度のエツチングを生じることが
ないフレームなしのコンタクト窓またはビア開口を提供
することも本発明の目的の1つである。
更にまた、VLSIデバイス中において金属配線が占め
るチップ面積を低減させることも本発明の目的の1つで
ある。
更にまた、一層高い密度をもって製造された集積回路デ
バイスを提供することも本発明の目的の1つである。
更にまた、VLSIデバイス中に存在するパターン形成
済みの金属層間を電気接続する方法において、特にマス
クの位置合せの聞届とりわけデバイス寸法の縮小に起因
する該問題を解決するための方法を提供することも本発
明の目的の1つである。
本発明の要旨は特許請求の範囲中に具体的に記載されて
いるが、本発明の構成や実施方法並びに追加の目的や利
点は添付の図面を参照した以下の説明から最も良く理解
されよう。
発明の詳細な説明 第1図は、集積回路デバイスの製造に際して使用される
本発明方法の典型的な出発点を図示している。詳しく述
べれば、基板20上に誘電体層22が設置されている。
通例、基板20はシリコンから成り、また誘電体層22
は基板22上に生成もしくは堆積させた酸化シリコンか
ら成るが、それらのみに限定されるわけではない。誘電
体層22は通例「フィールド酸化膜」と呼ばれるもので
あって、チップ上の種々のデバイス同士を電気的に分離
するために役立つ。次に、第2図に示されるごとく、金
属層24′が蒸着によって設置される。なお、図面中に
は示されていないが、金属層24′はソースおよびドレ
イン電極材料のごときデバイス構成要素を含むのが通例
である。次いで、所望の電気的機能に従って金属層24
′にパターン形成が施される。こうして得られた構造物
の代表的な断面図が第3図に示されている。なお、第2
〜11図においては、ダッシュの付いた参照番号はパタ
ーン形成を受ける前の層を表わすのに対し、ダッシュの
付かない同じ参照番号はパターン形成を受けた後の同じ
層を表わすことを理解されたい。更にまた、本明細書中
に記載される種々の層のパターン形成は、ホトレジスト
を塗布してからマスクを通して電磁線に暴露し、次いで
マスク上に存在するパターンに従ってそれを選択的に除
去する工程を含む通常の方法によって実施し得ることも
理解されたい。その場合のホトレジスト工程およびマス
キング工程としては、ポジ形またはネガ形のいずれかを
使用してもよい。
次に、m3図に示された構造物上にTX2の誘電体層2
6′ (第11図参照)を設置した後、かかる第2の誘
電体層26′が少なくとも金属層24に到達するまで平
坦化される。詳しく述べれば、排気可能な処理室内にお
いて酸化アルミニウム、酸化マグネシウムまたは(スピ
ネルを生成し得る)それらの両者が高周波スパッタリン
グによって蒸着される。処理室内は約12ミクロンの圧
力に保たれることが好ましく、また処理室内には酸素と
不活性ガスとから成る混合ガスが流される。好適な不活
性ガスはアルゴンである。この場合、混合ガスは10(
容量)%の酸素を含有することが好ましい。上記のごと
き絶縁性金属酸化物を生成するための金属は、処理室内
に金属試料を配置し、そして約500ワットの強度を有
する高周波電磁エネルギーに暴露することによって供給
される。
金属試料に印加される電圧は通例約300ボルトである
。なお、上記には層24が金属から成るものとして記載
されているが、この層はドーピングを受けたポリシリコ
ンのごとき導電性材料から成っていてもよいことに留意
されたい。特にかかる導電層パターンがゲートに電極材
料を表わすような場合がそれに該当する。こうして得ら
れた(1が漬物が第5図に示されている。なお、金属酸
化物は金属酸化物試料からのスパッタリングによって蒸
着することもできるが、金属試材を使用する方が好まし
い。
次に、本発明にとっては最も重要な工程であるが、第6
図に示されるごとくに酸化アルミニウムの薄層28′が
設置される。後述のごとく、かかる酸化アルミニウムは
、下側の金属層パターン24に対する電気的接続を行な
うためのビア開口を形成する際にエツチング停止材とし
て作用する。
次いで、第7図に示されるごとく、好ましくは約500
オングストロームの厚さを有する酸化アルミニウムの薄
層28′上に第3の誘電体層30’が設置される。上記
のごとき酸化アルミニウムの均一な薄層は、残留ガスの
一部を成す酸素の存在下において純粋な酸化アルミニウ
ムのスパッタリングを行なうことによって形成される。
かかるスパッタリングは、排気可能な処理室内において
12ミクロンの全圧下で実施される。かかる処理室内に
は、酸素とアルゴンまたはその他の不活性ガスとから成
る混合ガスが約25cc/分の流量で流される。この混
合ガスは約10(容量)%の酸素と90(容量)%のア
ルゴンとから成ることが好ましい。なお、好適な不活性
ガスはアルゴンである。
次いで、第3の誘電体層30’ にパターン形成を施す
ことによってとア開口40が形成される。
こうしてj7られた構造物が第8図に示されている。
第7および8図に示された構造物を比較することにより
、本発明における酸化アルミニウム層の機能が最も良く
理解されよう。すなわち、エツチング停止材としての酸
化アルミニウム層28′はその下側に位置する材料のエ
ツチングを防止する。
第3の誘電体層30’ に対してパターン形成を施すた
めのエツチングは、好ましくは酸化シリコンのごとき材
料から成る第3の誘電体層30’ に対して高度の選択
性ををするようなものである。詳しく述べれば、第3の
誘電体層30′のエツチングは反応性イオンエツチング
によって実施することが好ましい。更にまた、かかるエ
ツチングはNF3とアルゴンとから成る雰囲気中におい
て実施することが好ましい。第3の誘電体層30’ の
エツチングは酸化アルミニウムに対して約50:1の選
択比を有することが好ましいが、上記の雰囲気中におけ
る反応性イオンエツチングはこのような選択比を達成す
るものである。なお、CHF3とアルゴンとから成る雰
囲気もまた使用し得るが、これはあまり好適なエツチン
グガスと言えないことを理解すべきである。
反応性イオンエツチングを使用することはまた、特に誘
電体層中に深いビア開口を形成する際に見られるアンダ
ーカットの問題を軽減するためにも役立つ。上記の説明
から、酸化アルミニウム層28′が効果的なエツチング
停止材として作用し、下側に位置する第2の誘電体層2
6のエツチングを防止すると共にパターン形成済みの金
属層24の侵食を防止することが理解されよう。本発明
の方法はまた、加工すべき基板上に様々な深さのビア開
口が存在するような場合に特に有利であることもわかる
。このことは、第12図に関連して後記に一層詳しく説
明される。その場合のエツチングは、高度の選択性をも
って第3の誘電体層30′を除去するのに十分な時間に
わたって実施される。その際、厚さ約500オングスト
ロームの酸化アルミニウム層を使用すれば、最大約1ミ
クロンの深さ以内の様々な深さのビア開口を含む集積回
路デバイスにおいて不所望のエツチングを効果的に阻I
I−することができる。
ところで、下側の金属層24に対して接続を行なうため
には、とア開口の底に露出された部分の酸化アルミニウ
ム層28′を除去する必要がある。
詳しく述べれば、これはBC13およびo2ガスを用い
て露出された酸化アルミニウムのエツチングを行なうこ
とによって達成し得る。このようにして酸化アルミニウ
ム層を部分的に除去することにより得られた(1が遺物
が第9図に示されている。
なお、酸化マグネシウムまたはスピネルをエツチング停
止材として使用する場合には、酸化アルミニウムの場合
と同じ二ソチング剤を使用することができる。また、酸
化マグネシウムも酸化アルミニウムの場合と同様なスパ
ッタリングによって蒸着し得ることを理解すべきである
次に、第10図に示されるごとくに第2の金属、層32
′が設置され、そして第11図に示されるごとくにパタ
ーン形成が施される。その結果、第2の金属層の材料で
充填されたビア開口を通して金属層24および32の間
には良好な電気的接続が達成されることがわかる。かか
る第2の金属層は、バイアススパッタリングによって設
置されたアルミニウムまたはその他の導体、たとえばス
パッタリングおよびパターン形成によってVLS 1デ
バイス中に上側レベルの金属配線を形成するために通例
使用されるような導体で構成することができる。こうし
て得られたビア開口は、縁取りまたはフレームを必要と
しないものであることが理解されよう。
第12図は、集積回路デバイスの一部分中に存在する種
々の導電層および絶縁層を示す一層現実的な断面図であ
る。本発明に関係する問題点について詳しく述べれば、
層間接続用のビア開口(特にタングステンのプラグで充
填されたビア開口)は最大約1ミクロンに達する差を持
った様々な深さを有していることがわかる。たとえば、
下側の能動領域中に存在するドープ領域に接続を行なう
ためには12800オングストロームもの深さのビア開
口が必要とされることがわかる。他方、上側の層間の接
続を行なうためには僅か3000オングストロームのビ
ア開口が必要とされるにすぎない。なお、10000オ
ングストロームが1ミクロンに等しいことは言うまでも
ない。それ故、厚さ約500オングストロームの酸化ア
ルミニウム層が使用される場合には、20:1の選択比
が望ましいことが理解されよう。なお、第12図には酸
化アルミニウム層が示されていないが、それはこの図が
様々な深さのビア開口を例示するためのものにすぎない
からである。
ところで、約500オングストローム以下の薄い酸化ア
ルミニウム層により不所要のエツチングを効果的に阻止
しかつビア開口中に清浄な金属表面を露出させることが
できるので、上記の反応性イオンエツチングは必ずしも
二酸化シリコンおよびモリブデンに対して選択性を示す
必要はない。
また、第1〜5図に示されたHis造物漬物来技術に従
って得られるものであることを理解されたい。
更にまた、上記の方法に従えば、上側レベルおよび下側
レベルのフレームを完全に排除することによって高密度
の多層配線を達成し得ることも理解されたい。便宜上、
本明細書中にはパターン形成された2つの導電層同士を
選択的に接続する場合が記載されているか、本発明の方
法は多数の層同士を接続する場合にも拡張し得ることは
言うまでもない。
以上の説明かられかる通り、本発明の方法は■LSIチ
ップの高密度領域の相当部分を占めることのある縁取り
またはフレームを排除しなからビア開口を通して電気的
接続を行なうための手段を提供するものである。本発明
の方法はまた、電界効果トランジスタのソースおよびド
レイン電極に対して」二側レベルの金属層を接続するた
めにも応用することができる。更にまた、本発明の方法
により金属配線パターンの線ピッチを低減することかで
きる。
以上、特定の好適な実施の態様に関連して本発明の詳細
な説明したが、数多くの変更が可能であることは当業者
にとって自明であろう。従って、本発明の精神および範
囲から逸脱しない限り、かかる変更の全てが特許請求の
範囲によって包括されることは言うまでもない。
【図面の簡単な説明】
第1〜11図は本発明の方法に従って2個のビア開口を
形成するための諸工程を例示する一連の断面図、そして
TSl 2図はFETデバイスの一部分に様々な深さの
とア開口が存在することを説明するための断面図である
。 図中、20は基板、22は第1の誘電体層、24は第1
の金属層、26は第2の誘電体層、28は酸化アルミニ
ウム層、30は第3の1透電体層、そして32は第2の
金属層を表わす。

Claims (1)

  1. 【特許請求の範囲】 1、(a)誘電体層の下側に位置する絶縁性金属酸化物
    層に到達するまで前記誘電体層にエッチングを施して開
    口を形成することにより、前記開口を通して前記絶縁性
    金属酸化物層を露出させ、次いで(b)前記誘電体層中
    の前記開口を通して導電層に到達するまでエッチングを
    施して前記絶縁性金属酸化物層中に開口を形成すること
    により、前記誘電体層および前記絶縁性金属酸化物層中
    の前記開口を通して前記導電層を露出させる両工程を有
    することを特徴とする、特に集積回路デバイスの製造中
    にビア開口を形成するための方法。 2、前記絶縁性金属酸化物層が酸化アルミニウムから成
    る特許請求の範囲第1項記載の方法。 3、前記絶縁性金属酸化物層が酸化マグネシウムから成
    る特許請求の範囲第1項記載の方法。 4、前記誘電体層が酸化シリコンから成る特許請求の範
    囲第1項記載の方法。 5、前記導電層が金属から成る特許請求の範囲第1項記
    載の方法。 6、前記誘電体層のエッチングが反応性イオンエッチン
    グによって実施される特許請求の範囲第1項記載の方法
    。 7、前記反応性イオンエッチングがNF_3およびアル
    ゴンを含有する雰囲気中において実施される特許請求の
    範囲第6項記載の方法。 8、前記反応性イオンエッチングがCHF_3およびア
    ルゴンを含有する雰囲気中において実施される特許請求
    の範囲第6項記載の方法。 9、前記誘電体層のエッチングが前記絶縁性金属酸化物
    層に対して約20:1の選択比を有する特許請求の範囲
    第1項記載の方法。 10、前記絶縁性金属酸化物層のエッチングがBCl_
    3および酸素ガスを含有する雰囲気中において実施され
    る特許請求の範囲第1項記載の方法。 11、(a)絶縁性基板上に導電層を設置してパターン
    形成を施し、(b)パターン形成済みの前記導電層を第
    1の誘電体層で被覆し、(c)少なくとも前記導電層の
    レベルに到達するまで前記第1の誘電体層を平坦化し、
    (d)平坦化によって得られた構造物上に絶縁性金属酸
    化物層を設置し、(e)前記絶縁性金属酸化物層上に第
    2の誘電体層を設置してパターン形成を施すことにより
    、パターン形成済みの前記導電層の対応部分に少なくと
    も部分的に重なるように配置されかつ前記絶縁性金属酸
    化物層にまで到達する開口を前記第2の誘電体層中に形
    成し、次いで(f)前記第2の誘電体中の前記開口を通
    して露出された絶縁性金属酸化物を除去することによっ
    て前記絶縁性金属酸化物層中に開口を形成する諸工程を
    有することを特徴とする、集積回路デバイスの製造中に
    ビア開口を形成するための方法。 12、前記第2の誘電体層および前記絶縁性金属酸化物
    層中の前記開口を通して前記導電層への電気的接続を行
    なうために第2の導電層を堆積させてパターン形成を施
    す工程を含む特許請求の範囲第11項記載の方法。 13、前記絶縁性金属酸化物層が酸化アルミニウム、酸
    化マグネシウムおよびスピネルから成る群より選ばれた
    材料から成る特許請求の範囲第11項記載の方法。 14、(a)排気可能な処理室内に半導体基板と金属ま
    たは金属酸化物の試料とを配置し、(b)スパッタリン
    グ用の減圧下で前記処理室内に酸素と不活性ガスとから
    成る混合ガスを流し、次いで(c)高周波電磁エネルギ
    ーを用いて前記試料中の前記金属スパッタリングを行な
    う諸工程を有することを特徴とする、半導体基板上に絶
    縁性金属酸化物の均一な薄層を形成するための方法。 15、前記金属がアルミニウム、マグネシウムおよびそ
    れらの組合せから成る群より選ばれる特許請求の範囲第
    14項記載の方法。 16、前記減圧が約12ミクロンである特許請求の範囲
    第14項記載の方法。 17、前記混合ガスの流量が約25cc/分である特許
    請求の範囲第14項記載の方法。18、前記不活性ガス
    がアルゴンである特許請求の範囲第14項記載の方法。 19、前記混合ガスが10(容量)%の酸素を含有する
    特許請求の範囲第14項記載の方法。 20、前記高周波が約500ワットの強度で供給される
    特許請求の範囲第14項記載の方法。 21、前記スパッタリングが金属酸化物試料を用いて実
    施される特許請求の範囲第14項記載の方法。
JP62068167A 1986-03-27 1987-03-24 誘電体エツチング停止材を用いたフレ−ムなしのビア開口形成法 Pending JPS62265724A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US845110 1977-10-25
US06/845,110 US4767724A (en) 1986-03-27 1986-03-27 Unframed via interconnection with dielectric etch stop

Publications (1)

Publication Number Publication Date
JPS62265724A true JPS62265724A (ja) 1987-11-18

Family

ID=25294424

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62068167A Pending JPS62265724A (ja) 1986-03-27 1987-03-24 誘電体エツチング停止材を用いたフレ−ムなしのビア開口形成法

Country Status (4)

Country Link
US (1) US4767724A (ja)
EP (1) EP0241729A3 (ja)
JP (1) JPS62265724A (ja)
KR (1) KR870009449A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05218017A (ja) * 1991-11-19 1993-08-27 Philips Gloeilampenfab:Nv 半導体装置の製造方法
JP2000077526A (ja) * 1998-08-27 2000-03-14 Samsung Electronics Co Ltd 半導体素子のコンタクトホ―ルの形成方法
JP2015153941A (ja) * 2014-02-17 2015-08-24 東京エレクトロン株式会社 半導体装置の製造方法
JP2018026381A (ja) * 2016-08-08 2018-02-15 株式会社アルバック 半導体デバイス及びエッチングストップ層の形成方法

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4994402A (en) * 1987-06-26 1991-02-19 Hewlett-Packard Company Method of fabricating a coplanar, self-aligned contact structure in a semiconductor device
US4982266A (en) * 1987-12-23 1991-01-01 Texas Instruments Incorporated Integrated circuit with metal interconnecting layers above and below active circuitry
EP0326293A1 (en) * 1988-01-27 1989-08-02 Advanced Micro Devices, Inc. Method for forming interconnects
US4966870A (en) * 1988-04-14 1990-10-30 International Business Machines Corporation Method for making borderless contacts
US5316974A (en) * 1988-12-19 1994-05-31 Texas Instruments Incorporated Integrated circuit copper metallization process using a lift-off seed layer and a thick-plated conductor layer
JP2859288B2 (ja) * 1989-03-20 1999-02-17 株式会社日立製作所 半導体集積回路装置及びその製造方法
US4943539A (en) * 1989-05-09 1990-07-24 Motorola, Inc. Process for making a multilayer metallization structure
US5010039A (en) * 1989-05-15 1991-04-23 Ku San Mei Method of forming contacts to a semiconductor device
US5162260A (en) * 1989-06-01 1992-11-10 Hewlett-Packard Company Stacked solid via formation in integrated circuit systems
US4933297A (en) * 1989-10-12 1990-06-12 At&T Bell Laboratories Method for etching windows having different depths
US5282922A (en) * 1989-11-16 1994-02-01 Polycon Corporation Hybrid circuit structures and methods of fabrication
DE69023765T2 (de) * 1990-07-31 1996-06-20 Ibm Verfahren zur Herstellung von Bauelementen mit übereinander angeordneten Feldeffekttransistoren mit Wolfram-Gitter und sich daraus ergebende Struktur.
JP3036085B2 (ja) * 1990-12-28 2000-04-24 富士通株式会社 光学マスクとその欠陥修正方法
US5206187A (en) * 1991-08-30 1993-04-27 Micron Technology, Inc. Method of processing semiconductor wafers using a contact etch stop
EP0534631B1 (en) * 1991-09-23 1999-01-07 STMicroelectronics, Inc. Method of forming vias structure obtained
EP0543449B1 (en) * 1991-11-19 1997-03-05 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with aluminium tracks mutually insulated in lateral direction by an aluminium compound
US5284549A (en) * 1992-01-02 1994-02-08 International Business Machines Corporation Selective fluorocarbon-based RIE process utilizing a nitrogen additive
US5485019A (en) 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5252516A (en) * 1992-02-20 1993-10-12 International Business Machines Corporation Method for producing interlevel stud vias
KR950006343B1 (ko) * 1992-05-16 1995-06-14 금성일렉트론주식회사 반도체 장치의 제조방법
US5847457A (en) * 1993-11-12 1998-12-08 Stmicroelectronics, Inc. Structure and method of forming vias
JP2684978B2 (ja) * 1993-11-25 1997-12-03 日本電気株式会社 半導体装置
US5594278A (en) * 1994-04-22 1997-01-14 Nippon Steel Corporation Semiconductor device having a via hole with an aspect ratio of not less than four, and interconnections therein
US5512518A (en) * 1994-06-06 1996-04-30 Motorola, Inc. Method of manufacture of multilayer dielectric on a III-V substrate
JPH08293543A (ja) * 1995-04-25 1996-11-05 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6040619A (en) * 1995-06-07 2000-03-21 Advanced Micro Devices Semiconductor device including antireflective etch stop layer
JPH09172074A (ja) * 1995-12-19 1997-06-30 Toshiba Corp 半導体装置およびその製造方法
JP3012187B2 (ja) * 1996-02-05 2000-02-21 松下電子工業株式会社 半導体装置の製造方法
US5723380A (en) * 1996-03-25 1998-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of approach to improve metal lithography and via-plug integration
US5652173A (en) * 1996-05-09 1997-07-29 Philips Electronics North America Corporation Monolithic microwave circuit with thick conductors
JP3961044B2 (ja) * 1996-05-14 2007-08-15 シャープ株式会社 電子回路装置
US5972788A (en) * 1996-05-22 1999-10-26 International Business Machines Corporation Method of making flexible interconnections with dual-metal-dual-stud structure
JP2809200B2 (ja) * 1996-06-03 1998-10-08 日本電気株式会社 半導体装置の製造方法
US5886410A (en) * 1996-06-26 1999-03-23 Intel Corporation Interconnect structure with hard mask and low dielectric constant materials
US6362527B1 (en) * 1996-11-21 2002-03-26 Advanced Micro Devices, Inc. Borderless vias on bottom metal
TW319906B (en) * 1997-06-02 1997-11-11 Winbond Electronics Corp Borderless via process
GB2330001B (en) * 1997-10-06 1999-09-01 United Microelectronics Corp Method of forming an integrated circuit device
US6846739B1 (en) * 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6015751A (en) * 1998-04-06 2000-01-18 Taiwan Semiconductor Manufacturing Company Self-aligned connection to underlayer metal lines through unlanded via holes
US5918120A (en) * 1998-07-24 1999-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating capacitor-over-bit line (COB) dynamic random access memory (DRAM) using tungsten landing plug contacts and Ti/TiN bit lines
US6482572B1 (en) 2000-02-25 2002-11-19 Micron Technology, Inc. Method for providing an alignment diffraction grating for photolithographic alignment during semiconductor fabrication
DE10046012B4 (de) * 2000-09-18 2005-09-22 Infineon Technologies Ag Verfahren zur Bildung eines Kontaktlochs in einer Halbleiterschaltungsanordnung
KR100705937B1 (ko) * 2003-12-19 2007-04-11 에스티마이크로일렉트로닉스 엔.브이. 실리콘 질화막의 스트레스를 방지 및 완충하는 패드구조를 구비한 반도체 장치
KR100552812B1 (ko) * 2003-12-31 2006-02-22 동부아남반도체 주식회사 반도체 소자의 구리 배선 형성 방법
US7307013B2 (en) * 2004-06-30 2007-12-11 Sandisk 3D Llc Nonselective unpatterned etchback to expose buried patterned features
US20180019139A1 (en) * 2016-07-12 2018-01-18 Ayar Labs, Inc. Wafer-Level Etching Methods for Planar Photonics Circuits and Devices
US9911651B1 (en) 2016-10-26 2018-03-06 International Business Machines Corporation Skip-vias bypassing a metallization level at minimum pitch
WO2018173718A1 (ja) * 2017-03-24 2018-09-27 株式会社アルバック エッチングストップ層及び半導体デバイスの製造方法
US10453753B2 (en) * 2017-08-31 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Using a metal-containing layer as an etching stop layer and to pattern source/drain regions of a FinFET
US11101617B2 (en) 2018-07-16 2021-08-24 Ayar Labs, Inc. Wafer-level handle replacement
US10978388B2 (en) 2018-10-08 2021-04-13 International Business Machines Corporation Skip via for metal interconnects

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5933833A (ja) * 1982-08-19 1984-02-23 Toshiba Corp 半導体装置の製造方法
JPS59169151A (ja) * 1983-03-17 1984-09-25 Toshiba Corp 半導体装置の製造方法
JPS6053051A (ja) * 1983-09-02 1985-03-26 Toshiba Corp 半導体装置の製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3978577A (en) * 1975-06-30 1976-09-07 International Business Machines Corporation Fixed and variable threshold N-channel MNOSFET integration technique
JPS5232270A (en) * 1975-09-05 1977-03-11 Hitachi Ltd Passivation film formaion by sputtering
US4157269A (en) * 1978-06-06 1979-06-05 International Business Machines Corporation Utilizing polysilicon diffusion sources and special masking techniques
US4289574A (en) * 1979-04-30 1981-09-15 Fairchild Camera & Instrument Corp. Process for patterning metal connections on a semiconductor structure by using an aluminum oxide etch resistant layer
US4433004A (en) * 1979-07-11 1984-02-21 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device and a method for manufacturing the same
JPS56158873A (en) * 1980-05-14 1981-12-07 Hitachi Ltd Dry etching method
US4444618A (en) * 1983-03-03 1984-04-24 General Electric Company Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
US4426249A (en) * 1983-06-30 1984-01-17 Rca Corporation Composition and thickness variation in dielectric layers
US4511430A (en) * 1984-01-30 1985-04-16 International Business Machines Corporation Control of etch rate ratio of SiO2 /photoresist for quartz planarization etch back process
DE3567768D1 (en) * 1984-05-04 1989-02-23 Bbc Brown Boveri & Cie Dry-etching process
DE3571723D1 (en) * 1984-08-23 1989-08-24 Fairchild Semiconductor A process for forming vias on integrated circuits

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5933833A (ja) * 1982-08-19 1984-02-23 Toshiba Corp 半導体装置の製造方法
JPS59169151A (ja) * 1983-03-17 1984-09-25 Toshiba Corp 半導体装置の製造方法
JPS6053051A (ja) * 1983-09-02 1985-03-26 Toshiba Corp 半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05218017A (ja) * 1991-11-19 1993-08-27 Philips Gloeilampenfab:Nv 半導体装置の製造方法
JP2000077526A (ja) * 1998-08-27 2000-03-14 Samsung Electronics Co Ltd 半導体素子のコンタクトホ―ルの形成方法
JP2015153941A (ja) * 2014-02-17 2015-08-24 東京エレクトロン株式会社 半導体装置の製造方法
JP2018026381A (ja) * 2016-08-08 2018-02-15 株式会社アルバック 半導体デバイス及びエッチングストップ層の形成方法

Also Published As

Publication number Publication date
EP0241729A3 (en) 1988-07-13
EP0241729A2 (en) 1987-10-21
KR870009449A (ko) 1987-10-26
US4767724A (en) 1988-08-30

Similar Documents

Publication Publication Date Title
JPS62265724A (ja) 誘電体エツチング停止材を用いたフレ−ムなしのビア開口形成法
US5858877A (en) Self-aligned process for making contacts to silicon substrates during the manufacture of integrated circuits therein
US6057226A (en) Air gap based low dielectric constant interconnect structure and method of making same
US7109102B2 (en) Self-aligned contacts to gates
US4789648A (en) Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4735679A (en) Method of improving silicon-on-insulator uniformity
US20020168849A1 (en) Method of manufacturing interconnection line in semiconductor device
JPH0360055A (ja) 集積回路の製造方法
JPH11168105A (ja) 半導体集積回路の製造方法
JPH08330305A (ja) 半導体装置の絶縁膜形成方法
JPH01503021A (ja) シリコンウエハ内に貫通導体を形成する為の平担化方法
US6071812A (en) Method of forming a modified metal contact opening to decrease its aspect ratio for deep sub-micron processes
US6479884B2 (en) Interim oxidation of silsesquioxane dielectric for dual damascene process
JPH06204225A (ja) ボイドを有するプレーナコンタクト
JP2001284451A (ja) 二次元波形構造の製造方法
JP2001085389A (ja) 半導体装置のドライエッチング方法
US6812142B1 (en) Method and interlevel dielectric structure for improved metal step coverage
JP3278933B2 (ja) 半導体装置の製造方法
KR20030050951A (ko) 반도체 소자의 금속배선 형성방법
KR100399909B1 (ko) 반도체 소자의 층간 절연막 형성 방법
KR100688758B1 (ko) 반도체 소자의 금속 배선용 갭필 형성 방법
KR20000072897A (ko) 반도체 장치의 제조 방법
KR20000025686A (ko) 반도체 소자의 콘택홀 형성방법
KR0140733B1 (ko) 반도체소자의 미세콘택 형성방법
JP3552526B2 (ja) 半導体装置の導電体の製造方法