JPH1185310A - クロック信号分配回路 - Google Patents

クロック信号分配回路

Info

Publication number
JPH1185310A
JPH1185310A JP9244893A JP24489397A JPH1185310A JP H1185310 A JPH1185310 A JP H1185310A JP 9244893 A JP9244893 A JP 9244893A JP 24489397 A JP24489397 A JP 24489397A JP H1185310 A JPH1185310 A JP H1185310A
Authority
JP
Japan
Prior art keywords
clock
clock signal
circuit
global
local
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9244893A
Other languages
English (en)
Other versions
JP3085258B2 (ja
Inventor
Mitsufumi Shibayama
充文 柴山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP09244893A priority Critical patent/JP3085258B2/ja
Priority to US09/150,932 priority patent/US6111448A/en
Publication of JPH1185310A publication Critical patent/JPH1185310A/ja
Application granted granted Critical
Publication of JP3085258B2 publication Critical patent/JP3085258B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Manipulation Of Pulses (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 大規模集積回路上に低スキューでかつ高速な
クロック信号を分配可能なクロック信号分配回路を提供
する。 【解決手段】 グローバルクロック信号を生成するグロ
ーバルクロック生成回路1をLSI上の設置し、平行に
かつ互いに逆方向となるようにLSI上に周回させた2
重のグローバルクロック分配回路2によってLSI上に
グローバルクロック信号を分配する。グローバルクロッ
ク分配回路2によって伝達される2つのクロック信号各
々の遷移時点の中間の時点を基準にローカルクロック生
成回路4−(i+1),4−(i+2),4−j,4−
(k+1),4−(l+1)でローカルクロック信号を
発生させ、そのローカルクロック信号をローカルクロッ
ク分配回路5−(i+1),5−(i+2),5−j,
5−(k+1),5−(l+1)で分配する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はクロック信号分配回
路に関し、特に大規模集積回路上に高速かつ位相ずれが
少ないクロック信号を分配する回路に関する。
【0002】
【従来の技術】大規模集積回路(以下、LSIとする)
がますます大規模化し、その動作周波数が増加するにつ
れて、LSI上に分配されたクロック信号間の相対的な
位相ずれ、いわゆるクロックスキューが大きな問題とな
っている。同期式LSIはLSIの各部がクロック信号
によって同時にタイミングを与えられることを前提に設
計されて動作するため、クロックスキューの存在は同期
式LSIの動作周波数の上限を制限し、その結果、性能
を低下させてしまう。
【0003】従来、クロックスキューを低減する技術と
して、クロックバッファ及びクロック配線をツリー状に
構成したクロックツリー方式が知られている。このクロ
ック信号分配回路は、図15に示すように、クロックツ
リーの各階層において同一のクロックバッファ111を
使用し、かつ負荷容量や配線抵抗が同一になるように設
計レイアウトを行うことによって、クロックツリーの入
力端から各出力端までのクロック伝播経路の遅延を同一
とする。これによって、各出力端間におけるクロック信
号の位相差は相対的に小さくなり、クロックスキューを
低減することが期待できる。
【0004】しかしながら、上述したクロックツリー方
式においてはクロックツリーの各経路の条件を揃えるた
めに設計レイアウトにおいて多大な制限が生じ、かつ実
際には他回路とのレイアウト配置の関係や制約によっ
て、全ての経路の条件を揃えることは困難である。
【0005】また、条件を揃えるためにクロックツリー
上に挿入されるダミーのクロックバッファ112や配線
が消費電力や回路面積を増大させるという問題もある。
さらに、クロックツリー方式は各クロック分配経路を設
計レイアウト時に均等化することによる静的なスキュー
補償なので、デバイスばらつきや温度変動、及び電源電
圧変動等を原因とするクロックスキューを補償すること
はできない。また、LSIが微細化するにつれて、他配
線とのカップリングの影響も無視できなくなっており、
それを設計レイアウト時に補償するのは困難である。
【0006】一方、別の技術としては、全てのクロック
分配先を短絡し、それを巨大なクロックバッファで駆動
する巨大バッファ方式が知られる。このクロック信号分
配回路においては、図16に示すように、各クロック分
配先間が短絡されていることから、クロック分配先間で
クロックスキューを補償しあう効果が期待できる。
【0007】しかしながら、上述した巨大バッファ方式
においては、クロック分配先全てを同時に遷移させるた
めに巨大なクロックバッファ121と低抵抗すなわち幅
広のクロック配線122とを必要とする。そのため、消
費電力やレイアウト面積が大きく、特に将来の大規模な
LSIにおける1GHz以上の高速なクロック分配に対
する適用は困難である。
【0008】また、クロック信号の位相補償を設計時で
はなく、動作時に動的に行うものとして、クロック伝送
路を2重リング状または折り返して配置し、各クロック
分配先でこれら伝送路で伝送される2つのクロック信号
の位相差を基にクロック信号を動的に生成し、近傍に分
配する方法がある。この方法については、特開平8−5
4957号公報や特開平9−134226号公報に開示
されている。
【0009】
【発明が解決しようとする課題】上述した従来のクロッ
ク信号分配回路では、動作時に動的にクロックの位相補
償を行うので、デバイスばらつきや温度変動、及び電源
電圧変動等を原因とするクロックスキューの補償も可能
である。
【0010】しかしながら、LSI内の配線は配線断面
積が微小なために配線抵抗が大きく、LSI内全体に渡
るような長配線によって高速なクロック信号を伝送させ
ることは困難であるので、特に将来の0.1μm以下の
微細プロセスによる大規模LSIにおいて1GHz以上
の高速なクロック分配に上記のような技術を適用するこ
とは極めて困難である。
【0011】そこで、本発明の目的は上記の問題点を解
消し、LSI上に低スキューでかつ高速なクロックを分
配することができるクロック信号分配回路を提供するこ
とにある。
【0012】
【課題を解決するための手段】本発明によるクロック信
号分配回路は、外部から入力される基準クロック信号に
基づいてグローバルクロック信号を生成するグローバル
クロック生成手段と、前記グローバルクロック生成手段
で生成された前記グローバルクロック信号を大規模集積
回路内に分配しかつ互いに逆方向となるように前記大規
模集積回路内に二重ループ状に配置されたグローバルク
ロック分配手段と、前記グローバルクロック分配手段に
よって分配される2つのグローバルクロック信号各々の
位相の中間位相を基準にローカルクロック信号を生成す
るローカルクロック生成手段と、前記ローカルクロック
生成手段で生成された前記ローカルクロック信号を自手
段の近傍領域に分配するローカルクロック分配手段とを
備えている。
【0013】すなわち、本発明のクロック信号分配回路
は、LSI上の任意箇所に設置したグローバルクロック
生成手段によってグローバルクロック信号を生成し、ク
ロックバッファ及び配線から構成されるクロック分配手
段を2重かつ平行に互いに逆方向にLSI上に周回させ
たグローバルクロック分配手段によって、LSI上にグ
ローバルクロック信号を分配させる。
【0014】このグローバルクロック分配手段を構成す
る2重のクロック分配手段によって伝達される2つのク
ロック信号の夫々の遷移時点の中間の時点を基準にロー
カルクロック信号を発生させるローカルクロック生成手
段をグローバルクロック分配手段の任意箇所に複数接続
し、このローカルクロック生成手段にその近傍にローカ
ルクロック信号を分配するローカルクロック分配手段を
接続する。
【0015】また、グローバルクロック分配手段を構成
する2重のクロック分配手段は平行かつ逆方向に設置し
ているため、2重のクロック分配手段によって伝達され
る2つのクロック信号の遷移時刻の中間の時刻はグロー
バルクロック分配手段上の位置によらず同一である。
【0016】この中間の時刻を基準にしてローカルクロ
ック発生手段がその近傍へ分配するローカルクロック信
号を独自に発生し、ローカルクロック分配手段がそのロ
ーカルクロック信号を自手段の近傍に分配することによ
って、デバイスばらつきや温度変動、及び電源電圧変動
等の影響を補償することが可能であり、LSI全域に低
スキューでクロックを分配することができる。
【0017】また、グローバルクロック分配手段はクロ
ックバッファにより分割されているので、高速なクロッ
クの分配を可能にし、配線間カップリングやノイズの影
響を減少させることができる。
【0018】
【発明の実施の形態】次に、本発明の実施例について図
面を参照して説明する。図1は本発明の一実施例による
クロック信号分配回路の構成を示すブロック図である。
図において、本発明の一実施例によるクロック信号分配
回路はグローバルクロック生成回路1と、グローバルク
ロック分配回路2と、複数のクロックバッファ対3−m
(m=1,2,……,i+1,i+2,……,j,j+
1,……,k,k+1,k+2,……,l+1)と、複
数のローカルクロック生成回路4−mと、複数のローカ
ルクロック分配回路5−mとから構成されている。
【0019】グローバルクロック生成回路1はLSI
(図中の回路を全て含む回路)の外部より入力される基
準クロック信号に基づいてグローバルクロック信号を生
成する。グローバルクロック分配回路2はグローバルク
ロック生成回路1に接続されかつグローバルクロック生
成回路1で生成されたグローバルクロック信号を分配す
る。
【0020】複数のクロックバッファ対3−mは2つの
クロックバッファ30−m,31−mを隣接してレイア
ウトすることで構成されている。これら2つのクロック
バッファ30−m,31−m各々は互いに平行にレイア
ウトされかつグローバルクロック分配回路1に接続され
た2本のグローバルクロック配線100によって接続さ
れ、2重にかつ互いに逆向きのループ状のクロック伝達
回路を形成している。
【0021】複数のローカルクロック生成回路4−m各
々はグローバルクロック分配回路2の任意箇所に接続さ
れかつグローバルクロック分配回路2によって分配され
るグローバルクロック信号に基づいてローカルクロック
信号を生成する。ローカルクロック分配回路5−m各々
はローカルクロック生成回路4−mに接続されかつロー
カルクロック生成回路4−mで生成されたローカルクロ
ック信号をその近傍に分配する。
【0022】2重にかつ互いに逆向きのループ状のクロ
ック伝達回路各々の入力端2a,2bは隣接してレイア
ウトされるか、互いに等長な配線によってグローバルク
ロック生成回路1に接続されることで、同位相のグロー
バルクロック信号が2つのクロック伝達回路に入力さ
れ、LSI上においてグローバルクロック信号を互いに
逆方向に分配する。
【0023】ローカルクロック生成回路4−m及びロー
カルクロック分配回路5−mはLSIを構成する複数の
回路ブロック(図示せず)に対応して配設されている。
ローカルクロック生成回路4−mはグローバルクロック
分配回路2によって伝達される2つのグローバルクロッ
ク信号を入力とし、2つのグローバルクロック信号の夫
々の遷移時刻の中間の時刻を基準にしてローカルクロッ
ク信号を生成し、ローカルクロック分配回路5−mによ
って各々に対応する回路ブロック内にローカルクロック
信号を分配する。
【0024】図2は図1のグローバルクロック分配回路
2の動作を示す図であり、図3は図1のグローバルクロ
ック分配回路2の動作を示すタイミングチャートであ
る。これら図2及び図3を参照してグローバルクロック
分配回路2の動作について説明する。
【0025】グローバルクロック分配回路2は同一のク
ロックバッファ30−m,31−mによって構成される
クロックバッファ対3−mが平行にレイアウトされたグ
ローバルクロック配線100によって接続された構成で
あるため、任意の2つのクロックバッファ対間のグロー
バルクロック信号の伝搬遅延は同一である。
【0026】例えば、クロックバッファ対3−l,3−
(l+1)間の伝搬遅延はその方向によらず等しく、そ
の伝搬遅延をT1とする。同様に、クロックバッファ対
3−(l−1),3−l間の伝搬遅延をT2、クロック
バッファ対3−i,3−(i+1)間の伝搬遅延をT
i、クロックバッファ対3−(i−1),3−i間の伝
搬遅延をT(i+1)、クロックバッファ対3−2,3
−3間の伝搬遅延をT(n−1)、クロックバッファ対
3−1,3−2間の伝搬遅延をTnとする。
【0027】このとき、グローバルクロック分配回路2
を構成する2つのクロック伝搬回路の入力端2a,2b
から各々の出力端2c,2dまでの伝搬遅延は等しく、 T=T1+T2+・・・+Ti+T(i+1) +・・・+T(n−1)+Tn で表される。
【0028】図3は入力端2a,2bにグローバルクロ
ック生成回路1から入力されるグローバルクロック信号
のある立上り遷移の時刻をT0とした時のタイミングチ
ャートである。入力端2a,2bにおいてT0で立上り
遷移したクロック信号が、グローバルクロック分配回路
2によって互いに逆向きに分配され、クロック信号C
1,C2としてクロックドライバ対3−(l+1)に到
着する時刻を夫々Ta1,Tb1とすると、 Ta1=T0 Tb1=T0+T1+T2+・・・+Ti+T(i+1) +・・・+T(n−1)+Tn である。
【0029】従って、分配された2つのクロック信号C
1,C2の遷移時刻の中間の時刻Tm1は、 Tm1=(|Ta1+Tb1|)/2 =[2T0+T1+T2+・・・+Ti+T(i+1) +・・・+T(n−1)+Tn]/2 =T0+T/2 である。
【0030】同様に、あるクロックドライバ対3−i
に、入力端2a,2bにおいてT0で立上り遷移したク
ロック信号がグローバルクロック分配回路2によってク
ロック信号C1,C2として到着する時刻をTai,T
biとすると、 Tai=T0+T1+T2+・・・+Ti Tbi=T0+Tn+T(n−1)+・・・+T(i+1) である。
【0031】したがって、この2つのクロック信号C
1,C2の遷移時刻の中間の時刻Tmiは、 Tmi=1(|Tai+Tbi|)/2 =(2T0+T1+T2+・・・+T(n−1)+Tn)/2 =T0+T/2となる。
【0032】すなわち、どのクロックバッファ対3−m
においても、グローバルクロック分配回路2によって互
いに逆向きに分配される2つのクロック信号C1,C2
の遷移時刻の中間の時刻はT0+T/2で一定である。
この性質は、クロックバッファ対3−mを同一のクロッ
クドライバで構成し、クロックバッファ対3−m間を接
続する2本のグローバルクロック配線100を平行にレ
イアウトすることで、グローバルクロック分配回路2を
構成する任意の2つのクロックドライバ間のクロック信
号の伝搬遅延がその伝搬方向によらず同一とするなら
ば、その伝搬遅延量やクロックバッファ対3−mのレイ
アウト配置関係、デバイス特性等によらず成立する。
【0033】図4は図1のローカルクロック生成回路4
−mの構成例を示すブロック図である。ローカルクロッ
ク生成回路4−mは2つの可変遅延回路6,7と、位相
比較回路8と、制御回路9とから構成されている。
【0034】ローカルクロック生成回路4−mは上記の
クロック信号C1,C2によって、クロック信号C1,
C2の遷移の中間の時刻を基準にローカルクロック信号
を動的に生成する。
【0035】2つの可変遅延回路6,7は入力CLKe
に入力されるクロック信号を入力としかつ互いに直列に
接続されている。位相比較回路8は可変遅延回路7の出
力と入力CLKlに入力されるクロック信号との間の位
相差を検出する。制御回路9は位相比較回路8の出力に
したがって可変遅延回路6,7の遅延量を制御する。こ
れら可変遅延回路6,7と位相比較回路8と制御回路9
とによって遅延同期ループが形成されている。
【0036】直列接続された2つの可変遅延回路6,7
の前段の可変遅延回路6の出力を出力CLKoとする。
入力CLKeにはグローバルクロック分配回路2によっ
て分配される2つのクロック信号C1,C2のうちの位
相の進んでいる方が、入力CLKlには位相の遅れてい
る方が夫々入力される。
【0037】直列に接続された2つの可変遅延回路6,
7は入力CLKeに入力されたクロック信号を遅延させ
る。位相比較回路8はこの遅延されたクロック信号と入
力CLKlに入力されたクロック信号との位相比較を行
い、その比較結果を制御回路9に出力する。
【0038】制御回路9は位相比較回路8の比較結果に
基づいて、位相比較回路8に入力される2つのクロック
信号の位相差をなくすように2つの可変遅延回路6,7
の遅延量を変更する。位相比較回路8の2つの入力の位
相差が0となった状況では2つの可変遅延回路6,7が
同じ遅延量を持つため、出力CLKoには入力CLKe
及び入力CLKlに入力されたクロック信号の遷移時刻
の中間の時刻で遷移する信号が得られる。すなわち、グ
ローバルクロック分配回路2によって分配される2つの
クロック信号C1,C2の夫々の位相の中間の位相を持
つクロック信号が出力CLKoに出力される。
【0039】例えば、入力CLKeに入力されるクロッ
ク信号の位相をφ1、入力CLKlに入力されるクロッ
ク信号の位相をφ2=φ1+Δφ、可変遅延回路6,7
の遅延量をXとした時、位相比較回路8に入力される2
つのクロック信号の位相差が0になると、 φ1+2・X=φ2 =φ1+Δφ が成り立つ。
【0040】この時、X=Δφ/2であり、出力CLK
oには入力CLKe及び入力CLKlに入力されるクロ
ック信号C1,C2の中間の位相(φ1+Δφ/2)を
もつクロック信号が出力される。これはクロック信号C
1,C2間の位相差Δφの大きさに依存しない。すなわ
ち、ローカルクロック生成回路4−mはグローバルクロ
ック分配回路2に接続する位置によらず、全てのローカ
ルクロック生成回路4−mで同位相のクロック信号を生
成することが可能となる。
【0041】図5は図1のローカルクロック生成回路4
−mの他の構成例を示すブロック図である。ローカルク
ロック生成回路4−mの他の構成例では2つの固定遅延
回路10,11を配設した以外は図4に示す構成例と同
様の構成となっており、同一構成要素には同一符号を付
してある。
【0042】ローカルクロック生成回路4−mの他の構
成例では図4に示すローカルクロック生成回路4−mに
おいて、入力CLKlに入力されるクロック信号を2つ
直列に接続した固定遅延回路10,11を通して位相比
較回路8に入力する。2つの固定遅延回路10,11は
可変遅延回路6,7の最小遅延量が0でない時に、固定
遅延回路10,11の遅延量を可変遅延回路6,7の最
小遅延量と同一にすることで、その最小遅延を補償す
る。
【0043】例えば、グローバルクロック分配回路2の
入力端2a,2bから出力端2c,2dまでの遅延時間
がTの時、ローカルクロック生成回路4−mをグローバ
ルクロック分配回路2の任意の位置に接続可能とするた
めには、ローカルクロック生成回路4−mが入力する2
つのクロック信号C1,C2の位相差Δφは0≦Δφ≦
Tの範囲をとりうるので、図4に示すローカルクロック
生成回路4−mでは上記の説明から、可変遅延回路6,
7の遅延量Xを0≦X≦T/2の範囲とする必要があ
る。
【0044】ローカルクロック生成回路4−mの他の構
成例において、可変遅延回路6,7の遅延量XがX0≦
X≦T/2である時、固定遅延回路10,11の遅延量
をX0とすることで、ローカルクロック生成回路4−m
をグローバルクロック分配回路2の任意の位置に接続す
ることが可能となる。
【0045】例えば、入力CLKeに入力されるクロッ
ク信号の位相をφ1、入力CLKlに入力されるクロッ
ク信号の位相をφ2=φ1+Δφ、可変遅延回路6,7
の遅延量をXとした時、位相比較回路8に入力される2
つのクロック信号の位相差が0になると、 φ1+2・X=φ2+2・X0 =φ1+Δφ+2・X0 が成り立つ。
【0046】この時、X=Δφ/2+X0であり、出力
CLKoには入力CLKe及び入力CLKlに入力され
るクロック信号C1,C2の中間の位相φ1+Δφ/2
よりX0だけ遅れた位相φ1+Δφ/2+X0をもつク
ロック信号が出力される。すなわち、グローバルクロッ
ク分配回路2に接続された全てのローカルクロック生成
回路4−mで固定遅延X0を補償することによって、グ
ローバルクロック分配回路2に接続する位置によらず、
同位相のクロック信号を生成することが可能となる。こ
の場合、可変遅延回路6,7の遅延量XをX0≦X≦T
/2の範囲とすることができればよい。
【0047】図6は図4の可変遅延回路6の構成例を示
す図である。図において、可変遅延回路6はインバータ
61〜67とNAND(否定論理積)ゲート68〜90
とから構成されている。尚、可変遅延回路7も可変遅延
回路6と同様の構成となっている。
【0048】可変遅延回路6において、入力INから入
力される信号はNANDゲート68〜90によって遅延
されて出力OUTから出力される。入力D1〜D7には
可変遅延回路6の遅延量を制御するための信号が入力さ
れる。
【0049】入力D1〜D7に入力される信号全てが
“1”の時、この可変遅延回路6は最小遅延を提供す
る。NANDゲート68〜90の遅延量をdとすると、
入力INから入力された信号はNANDゲート76,8
3を通過して出力OUTに出力され、その遅延は2dで
ある。
【0050】入力D1に入力される信号を“0”、入力
D2〜D7に入力される信号を“1”とすると、入力I
Nから入力された信号はNANDゲート68,77,8
4,83を通過して出力OUTに出力される。この時の
遅延は4dである。
【0051】同様にして、入力D1〜D7に入力される
信号を全て“0”にすると、入力INから出力OUTま
での遅延時間は16dとなる。すなわち、入力D1〜D
7に入力される信号の値によって2dから16dまでの
2d単位の遅延を提供することができる。制御回路9は
入力D1〜D7に入力される信号を制御することによっ
て、可変遅延回路6の遅延量の制御を行う。また、制御
回路9は上記と同様にして可変遅延回路7の遅延量の制
御を行う。
【0052】図7は図5の固定遅延回路10の構成例を
示す図である。図において、固定遅延回路10はNAN
Dゲート10a〜10cから構成されている。尚、固定
遅延回路11は固定遅延回路10と同様の構成となって
いる。
【0053】固定遅延回路10を構成するNANDゲー
ト10a〜10cに、上述した可変遅延回路6のNAN
Dゲート68〜90と同一のNANDゲートを用いるこ
とで、可変遅延回路6の最小遅延2dを提供する。
【0054】図1において、ローカルクロック分配回路
5−mは上記のローカルクロック生成回路4−mで生成
され、出力CLKoに出力されるローカルクロック信号
を回路ブロック内に分配する。
【0055】図8は図1のローカルクロック分配回路5
−mの構成例を示す図である。図において、ローカルク
ロック分配回路5−mは遅延同期ループ回路12とクロ
ックツリー13とから構成され、遅延同期ループ回路1
2の出力をクロックツリー13の入力に、クロックツリ
ー13の出力を遅延同期ループ回路12の入力に接続す
ることによって、ループを形成している。
【0056】遅延同期ループ回路12は可変遅延回路1
2aと、位相比較回路12bと、制御回路12cとから
構成されており、クロックツリー13は複数のクロック
バッファ13a〜13mから構成されている。
【0057】位相比較回路12bは入力CLKから入力
されるローカルクロック生成回路4−mの出力クロック
信号とクロックツリー13の末端のクロック信号との位
相を比較し、その結果を制御回路12cに出力する。制
御回路12cは位相比較回路12bの出力を基に、入力
CLKから入力されるクロック信号とクロックツリー1
3の末端のクロック信号との位相差を0にするように可
変遅延回路12aの遅延量を調整する。
【0058】クロックツリー13は回路ブロック内の近
傍領域のみにクロック信号を分配すればよいので、LS
I全体にクロックツリーでクロック分配を行う時とは異
なり、クロックツリー末端間のスキューを十分小さくし
てクロックを分配できることが期待できる。
【0059】各ローカルクロック分配回路5−mを構成
するクロックツリー13の規模に差がなく、その遅延差
が許容できる範囲内になる場合には遅延同期ループ回路
12を省略することもできる。
【0060】ローカルクロック生成回路4−mのグロー
バルクロック分配回路5−mへの接続位置は任意であ
る。図1においてはクロックバッファ対3−mの入力側
に接続しているが、クロックバッファ対3−mの出力側
でもよいし、クロックバッファ対3−mの間隔が十分小
さく、グローバルクロック配線100上の位置による遅
延差が無視できる場合にはクロックバッファ対3−m間
の配線でもよい。
【0061】また、ローカルクロック分配回路5−mの
数も任意である。したがって1組のローカルクロック生
成回路及びローカルクロック分配回路がローカルクロッ
ク信号を分配する範囲を十分に小さくすることができ
る。
【0062】さらに、クロック信号C1,C2の位相差
を検出し、動的にクロック生成を行うので、回路ブロッ
ク間のデバイスばらつきや温度変動、及び電源電圧変動
等を補償することができる。
【0063】グローバルクロック分配回路2を構成する
クロックバッファ対3−mのレイアウト間隔は任意であ
るが、全てのクロックバッファ対3−mのレイアウト間
隔を同一とすることで、全てのグローバルクロック配線
100の配線抵抗や配線容量を同一とし、全てのクロッ
クバッファ対3−m間の遅延を同一とするならば、クロ
ックバッファ対3−m間のデバイスばらつきや温度変
動、及び電源電圧変動等をも補償することができる。
【0064】すなわち、図2において、各クロックバッ
ファ対3−m間の遅延をTp=T1=T2=・・・=T
nとすると、i番目のクロックバッファ対3−iに接続
されたローカルクロック生成回路4−iが入力する2つ
のクロック信号C1,C2の位相は、グローバルクロッ
ク分配回路2の入力端2a,2bから(i−1)・Tp
及び(n−i+1)・Tpだけ遅れている。
【0065】いま仮にあるクロックバッファ対にデバイ
スばらつきや温度変動、及び電源電圧変動等の影響が加
わり、両側に隣接するクロックバッファ対までの遅延時
間がTp+Δtとなったとすると、上記のクロック信号
C1,C2の入力端2a,2bからの位相遅れは(i−
1)・Tp+Δt及び(n−i+1)・Tpまたは、
(i−1)・Tp及び(n−i+1)・Tp+Δtとな
る。つまり、クロック信号C1,C2の位相の中間の位
相をもつクロック信号は入力端2a,2bから(n・T
p+Δt)/2の位相遅れをもち、これはローカルクロ
ック生成回路4−mの接続位置によらず一定である。
【0066】したがって、デバイスばらつきや温度変
動、及び電源電圧変動等の影響で、あるクロックバッフ
ァ対の特性にずれが生じても、それを補償して、各ロー
カルクロック生成回路4−mは同位相のローカルクロッ
ク信号を生成することが可能となる。また、これは意図
的にクロックバッファ対3−m間で異なる大きさのクロ
ックバッファや異なる電源電圧が使用可能なことを意味
し、同様に各ローカルクロック生成回路4−mは同位相
のローカルクロック信号を生成することが可能となる。
【0067】以上の説明で明らかのように、本発明によ
ってデバイスばらつきや温度変動、及び電源電圧変動等
の影響を補償することが可能である。また、グローバル
クロック分配回路5−mは複数のクロックバッファ対3
−mが挿入されており、クロックバッファ対3−m間の
配線が十分短いので、配線間カップリングやノイズの影
響を受けにくく、かつ高速なクロック分配を可能にす
る。これによって、LSI全体に低スキューでかつ高速
なクロック信号を分配することが可能となる。
【0068】図9は図4の位相比較回路8の構成例を示
す図である。図において、位相比較回路8は2つの分周
回路14,15と、2つのDフリップフロップ16,1
7とから構成されている。入力CLKに入力されるクロ
ック信号は分周回路14を通してフリップフロップ16
のD入力とフリップフロップ17のクロック入力に夫々
入力され、入力REFに入力されるクロック信号は分周
回路15を通してフリップフロップ17のD入力とフリ
ップフロップ16のクロック入力に夫々入力される。分
周回路14,15はDフリップフロップ14a,15a
の否定出力を入力にフイードバックすることで、入力信
号を2分周する。
【0069】図10は図4の位相比較回路8の動作を示
すタイミングチャートである。これら図9及び図10を
参照して位相比較回路8の動作について説明する。入力
REFから入力されるクロック信号は分周回路15によ
って2分周される。同様に、入力CLKから入力される
クロック信号は分周回路14によって2分周される。
【0070】分周回路14の出力すなわち入力CLKか
ら入力されるクロック信号を2分周した信号をCLK
2、分周回路15の出力すなわち入力REFから入力さ
れるクロック信号を2分周した信号をREF2とする
と、CLK2はDフリップフロップ16によってREF
2の立上りのタイミングでラッチされ、出力INCから
出力される。
【0071】また、REF2はDフリップフロップ17
によってCLK2の立上りのタイミングでラッチされ、
出力DECから出力される。すなわち、入力CLKから
入力されるクロック信号よりも入力REFから入力され
るクロック信号の位相が進んでいれば、出力INCが
“0”、出力DECが“1”となる。逆に、入力REF
から入力されるクロック信号よりも入力CLKから入力
されるクロック信号の位相が進んでいれば、出力INC
が“1”、DECが“0”となる。
【0072】図4に示したローカルクロック発生回路4
−mにおいて、入力CLKeから入力されかつ2つの可
変遅延回路6,7を通過したクロック信号を位相比較回
路8の入力CLKに接続し、入力CLKlを入力REF
に接続するとともに、制御回路9が位相比較回路8の出
力INCの出力が“1”であれば可変遅延回路6,7の
遅延を増加させ、位相比較回路8の出力DECの出力が
“1”であれば可変遅延回路6,7の遅延を減少させる
ことによって、ローカルクロック生成回路4−mは上述
した所望の動作を実現する。
【0073】位相比較回路8の分周回路14,15は検
出可能な位相差の最大値を増加させる。すなわち、入力
CLKから入力されるクロック信号及び入力REFから
入力されるクロック信号を2分周することによって、入
力CLKと入力REFとから入力されるクロック信号の
検出可能な最大の位相差はそのサイクル時間をTcとす
ると、Tc/2からTcに増加する。
【0074】さらに分周し、例えばn分周すると、検出
可能な最大位相差は(Tc/2)・nとなる。すなわ
ち、分周数を増加させれば検出可能な最大位相差が増加
するため、グローバルクロック分配回路5−mからロー
カルクロック生成回路4−mに入力する2つのクロック
信号の位相差に制限はない。これは、グローバルクロッ
ク分配回路2の入力端2a,2bから出力端2c,2d
までの遅延時間の最大値に制限がないことを意味する。
【0075】したがって、グローバルクロック分配回路
5−mにクロックバッファ対3−mを適切な間隔で挿入
することができるため、カップリングやノイズ等の影響
を削減することができる。また、グローバルクロック分
配回路2の形状の自由度も向上し、例えばLSI上の他
回路のレイアウト状況等に合わせて所望の形状に変形さ
せることも容易になる。
【0076】分周回路14,15によって入力CLKと
入力REFとから入力されるクロック信号を分周する代
わりに、入力CLKと入力REFとから入力されるクロ
ック信号のパルスを選択するパルス選択回路を入力CL
K及び入力REFに接続し、入力CLKと入力REFと
で対応するパルスのみを通過させるようにしても同様に
検出可能な最大位相差を増加させることができる。
【0077】図11は図1のローカルクロック分配回路
5−mの他の構成例を示す図である。図において、ロー
カルクロック分配回路5−mは遅延同期ループ回路18
とクロックツリー13とから構成され、遅延同期ループ
回路18の出力をクロックツリー13の入力に、クロッ
クツリー13の出力を遅延同期ループ回路18の入力に
接続することによって、ループを形成している。
【0078】このローカルクロック分配回路5−mの他
の構成例では遅延同期ループ回路18において、図8に
示す遅延同期ループ回路12の出力に分周回路18dを
接続した以外は図8に示す遅延同期ループ回路12の構
成と同様である。
【0079】分周回路18dは入力CLKに入力されか
つ可変遅延回路18aで遅延されたグローバルクロック
信号を分周し、ローカルクロック信号としてクロックツ
リー13に出力する。この分周回路18dによってグロ
ーバルクロック分配回路2で分配されるグローバルクロ
ック信号の周波数よりも低い周波数のクロック信号を、
グローバルクロック信号と同位相で分配することができ
る。
【0080】図12は図1のローカルクロック分配回路
5−mの別の構成例を示す図である。図において、ロー
カルクロック分配回路5−mは位相同期ループ回路19
とクロックツリー13とから構成され、位相同期ループ
回路19の出力をクロックツリー13の入力に、クロッ
クツリー13の出力を位相同期ループ回路19の入力に
接続することによって、ループを形成している。
【0081】このローカルクロック分配回路5−mの他
の構成例では位相同期ループ回路19において、図8に
示す遅延同期ループ回路12の代わりに、位相同期ルー
プ回路19を使用している。位相同期ループ回路19は
可変発振回路19aと、位相比較回路19bと、ループ
フィルタ19cと、分周回路19dとから構成されてい
る。
【0082】可変発振回路19aはループフィルタ19
cによってフィルタリングされた位相比較回路19bの
出力によってその発振周波数が決定され、その出力はク
ロックツリー13を通じて回路ブロック内に分配され
る。分周回路19dはクロックツリー13の末端のクロ
ック信号を分周して位相比較回路19bに入力する。
【0083】位相比較回路19bは入力CLKから入力
されるクロック信号とクロックツリー13からフィード
バックされかつ分周回路19dで分周されたクロック信
号との位相を比較し、その比較結果をループフィルタ1
9cを通して可変発振回路19aに出力し、その発振周
波数を制御する。これによって、入力CLKから入力さ
れるクロック信号とクロックツリー13からフィードバ
ックされかつ分周回路19dによって分周されたクロッ
ク信号との位相及び周波数を一致させている。
【0084】分周回路19dでクロックツリー13から
のフィードバック信号を分周することによって、入力C
LKから入力されるグローバルクロック信号と同位相で
かつ周波数の高いローカルクロック信号を回路ブロック
内に分配することができる。したがって、グローバルク
ロック信号は周波数を低くすることができるので、グロ
ーバルクロック信号の分配に消費される電力が削減可能
となる。
【0085】図13は図1のグローバルクロック生成回
路1の構成例を示す図である。図において、グローバル
クロック生成回路1は位相同期ループ回路20と、セレ
クタ25と、AND(論理積)ゲート26とから構成さ
れており、位相同期ループ回路20は可変発振回路21
と、位相比較回路22と、ループフィルタ23と、分周
回路24とから構成されている。
【0086】このグローバルクロック生成回路1におい
ては位相同期ループ回路20によって入力CLKに入力
されるLSI外部からの基準クロック信号を逓倍し、そ
の逓倍したクロック信号をANDゲート26を通して出
力OUTからグローバルクロック分配回路2に出力して
いる。
【0087】ANDゲート26は入力ENAによって、
位相同期ループ回路20がロックするまでは、その出力
がOUTに出力されるのを防ぐことで、各ローカルクロ
ック生成回路4−mやローカルクロック分配回路5−m
の誤動作を防止する。セレクタ25は初期状態では可変
発振回路21の出力を分周回路24にフィードバックし
ている。
【0088】位相同期ループ回路20がロックし、グロ
ーバルクロック分配回路2への出力が開始されると、セ
レクタ25は入力REFに入力される近傍のローカルク
ロック生成回路4−mの出力を分周回路24にフィード
バックすることによって、外部クロック信号とLSI内
部に分配されるクロック信号との位相合わせを可能にし
ている。
【0089】上記のように本発明では、グローバルクロ
ック分配回路2によってグローバルクロック信号を各回
路ブロックに分配し、各回路ブロックはローカルクロッ
ク生成回路4−mでローカルクロック信号を生成し、ロ
ーカルクロック分配回路5−mで回路ブロック内にロー
カルクロック信号を分配する。これは、各回路ブロック
でローカルクロック生成・分配回路の設計レイアウトを
他の回路ブロックに依存せずに独立して行えることを意
味し、設計レイアウトコストを削減することが可能とな
る。
【0090】また、各回路ブロック単位で周波数制御や
クロック停止等のクロック制御を容易に行うことができ
る。さらに、位相同期ループ回路あるいは遅延同期ルー
プ回路で動的に位相合わせを行うので、各回路ブロック
で異なる周波数のクロック信号を選択することができ、
また各同路ブロック毎に電源電圧が異なっていてもよ
い。
【0091】図14は本発明を適用したLSIの構成例
を示す図である。図において、LSI40は回路ブロッ
ク41〜48で構成され、回路ブロック41はクロック
周波数がf1、電源電圧がV1となっており、回路ブロ
ック42はクロック周波数がf2、電源電圧がV2とな
っており、回路ブロック43はクロック周波数がf3、
電源電圧がV3となっており、回路ブロック44はクロ
ック周波数がf4、電源電圧がV4となっている。
【0092】また、回路ブロック45はクロック周波数
がf5、電源電圧がV5となっており、回路ブロック4
6はクロック周波数がf6、電源電圧がV6となってお
り、回路ブロック47はクロック周波数がf7、電源電
圧がV7となっており、回路ブロック48はクロック周
波数がf8、電源電圧がV8となっている。
【0093】各回路ブロック41〜48にはローカルク
ロック生成・分配回路51〜58が設けられており、ロ
ーカルクロック生成・分配回路51〜58によって各回
路ブロック41〜48で適切なクロック周波数及び電源
電圧が選択される。
【0094】一般に、LSI回路の消費電力Pはクロッ
ク周波数をf、電源電圧をV、付加容量をCとした時、
P=1/2・f・C・V・Vで表される。すなわち、そ
の回路ブロック41〜48に適切なクロック周波数及び
電源電圧を選択することは消費電力を削減する効果があ
る。
【0095】また、各回路ブロック41〜48で独立し
てローカルクロック生成・分配回路51〜58の設計を
行えることから、図14に示すように、他回路ブロック
のローカルクロック生成・分配回路やグローバルクロッ
ク生成・分配回路の再設計を行うことなく、回路ブロッ
ク43と回路ブロック49との入替えや回路ブロック4
1〜48の再設計を行うことができる。
【0096】これは回路ブロック41〜48のモジュー
ル化やライブラリ化を容易にし、回路ブロック41〜4
8の再利用性を向上させ、特に多様な機能を1チップに
集積するシステムLSIの設計コストの削減が可能とな
る。
【0097】このように、互いに逆方向かつ2重にグロ
ーバルクロック分配回路2を設置してグローバルクロッ
ク信号を分配し、LSIを構成する複数の回路ブロック
41〜48毎に独立にローカルクロック信号を生成・分
配することによって、デバイスばらつきや温度変動、及
び電源電圧変動等による影響を補償し、LSI全体に低
スキューでクロック信号を分配することができる。
【0098】また、グローバルクロック分配回路2に複
数のクロックバッファ30−m,31−mを挿入し、各
クロックバッファ30−m,31−m間の配線を十分短
くすることで、配線間カップリングやノイズの影響が受
けにくくなり、高速なクロック信号の分配が可能とな
る。
【0099】さらに、グローバルクロック分配回路2に
接続するローカルクロック生成回路4−mの数及び位置
が任意であるため、設計レイアウトでの自由度を高くす
ることができ、クロック分配回路2の設計レイアウトコ
ストを減少させることができる。
【0100】さらにまた、LSIを構成する各回路ブロ
ック41〜48でローカルクロック生成・分配回路51
〜58を、他の回路ブロックに非依存でかつ独立して設
計レイアウトすることができるので、回路ブロック41
〜48のモジュール化やライブラリ化が容易となり、再
利用性が向上するとともに、システムLSIの設計コス
トを削減することができる。また、回路ブロック41〜
48単位で周波数変更やクロック停止等のクロック制御
を容易に行うことができる。
【0101】この場合、LSIを構成する各回路ブロッ
ク41〜48で異なったクロック周波数及び電源電圧を
容易に選択することができるので、各回路ブロック41
〜48毎に適切なクロック周波数及び電源電圧を選択す
ることによって、消費電力を削減することができる。
【0102】
【発明の効果】以上説明したように本発明によれば、外
部から入力される基準クロック信号に基づいてグローバ
ルクロック信号を生成するグローバルクロック生成手段
と、グローバルクロック生成手段で生成されたグローバ
ルクロック信号を大規模集積回路内に分配しかつ互いに
逆方向となるように大規模集積回路内に二重ループ状に
配置されたグローバルクロック分配手段と、グローバル
クロック分配手段によって分配される2つのグローバル
クロック信号各々の位相の中間位相を基準にローカルク
ロック信号を生成するローカルクロック生成手段と、ロ
ーカルクロック生成手段で生成されたローカルクロック
信号を自手段の近傍領域に分配するローカルクロック分
配手段とを備えることによって、LSI上に低スキュー
でかつ高速なクロックを分配することができるという効
果がある。
【図面の簡単な説明】
【図1】本発明の一実施例によるクロック信号分配回路
の構成を示すブロック図である。
【図2】図1のグローバルクロック分配回路の動作を示
す図である。
【図3】図1のグローバルクロック分配回路の動作を示
すタイミングチャートである。
【図4】図1のローカルクロック生成回路の構成例を示
すブロック図である。
【図5】図1のローカルクロック生成回路の他の構成例
を示すブロック図である。
【図6】図4の可変遅延回路の構成例を示す図である。
【図7】図5の固定遅延回路の構成例を示す図である。
【図8】図1のローカルクロック分配回路の構成例を示
す図である。
【図9】図4の位相比較回路の構成例を示す図である。
【図10】図4の位相比較回路の動作を示すタイミング
チャートである。
【図11】図1のローカルクロック分配回路の他の構成
例を示す図である。
【図12】図1のローカルクロック分配回路の別の構成
例を示す図である。
【図13】図1のグローバルクロック生成回路の構成例
を示す図である。
【図14】本発明を適用したLSIの構成例を示す図で
ある。
【図15】従来例のクロック分配回路の構成例を示すブ
ロック図である。
【図16】従来例のクロック分配回路を他の構成例を示
すブロック図である。
【符号の説明】
1 グローバルクロック生成同路 2 グローバルクロック分配回路 2a,2b 入力端 2c,2d 出力端 3−1〜3−(l+1) クロックバッファ対 4−(i+1),4−(i+2),4−j,4−(k+
1),4−(l+1) ローカルクロック生成回路 5−(i+1),5−(i+2),5−j,5−(k+
1),5−(l+1) ローカルクロック分配回路 6,7,12a,18a 可変遅延回路 8,12b,18b,19b,22 位相比較回路 9,12c,18c 制御回路 10,11 固定遅延回路 10a〜10c,68〜90 NANDゲート 12,18 遅延同期ループ回路 13 クロックツリー 13a〜13m,30−1〜30−(l+1),31−
1〜31−(l+1) クロックバッファ 14,15,18d,19d,24 分周回路 14a,15a,16,17 Dフリップフロップ 19a,21 可変発振回路 19c,23 ループフィルタ 20 位相同期ループ回路 25 セレクタ 26 ANDゲート 40 LSI 41〜49 回路ブロック 51〜58 ローカルクロック生成・分配回路 61〜67 インバータ

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】 外部から入力される基準クロック信号に
    基づいてグローバルクロック信号を生成するグローバル
    クロック生成手段と、前記グローバルクロック生成手段
    で生成された前記グローバルクロック信号を大規模集積
    回路内に分配しかつ互いに逆方向となるように前記大規
    模集積回路内に二重ループ状に配置されたグローバルク
    ロック分配手段と、前記グローバルクロック分配手段に
    よって分配される2つのグローバルクロック信号各々の
    位相の中間位相を基準にローカルクロック信号を生成す
    るローカルクロック生成手段と、前記ローカルクロック
    生成手段で生成された前記ローカルクロック信号を自手
    段の近傍領域に分配するローカルクロック分配手段とを
    有することを特徴とするクロック信号分配回路。
  2. 【請求項2】 前記グローバルクロック分配手段は、互
    いに逆方向に伝達される前記グローバルクロック信号を
    保持する第1及び第2のクロックバッファからなる複数
    のクロックバッファ対と、隣接する前記第1のクロック
    バッファ同士を接続する第1の配線と、前記第1の配線
    に平行に配設されかつ隣接する前記第2のクロックバッ
    ファ同士を接続する第2の配線とを含むことを特徴とす
    る請求項1記載のクロック信号分配回路。
  3. 【請求項3】 前記複数のクロックバッファ対は、前記
    大規模集積回路内で全て等間隔にレイアウトされかつ等
    長の前記第1及び第2の配線で接続されるようにしたこ
    とを特徴とする請求項2記載のクロック信号分配回路。
  4. 【請求項4】 前記ローカルクロック生成手段は、前記
    グローバルクロック信号の遅延量を外部信号に応じて可
    変自在としかつ同一構成の第1及び第2の可変遅延手段
    と、前記第1及び第2の可変遅延手段で遅延されたクロ
    ック信号と当該クロック信号とは逆方向から伝達される
    グローバルクロック信号との位相を比較する位相比較手
    段と、前記位相比較手段の比較結果に基づいて前記第1
    及び第2の可変遅延手段における遅延量を可変制御する
    制御手段とを含み、前記制御手段の制御によって前記グ
    ローバルクロック分配手段が互いに逆方向から分配する
    2つのグローバルクロック信号各々の位相の中間位相を
    もつローカルクロック信号を生成するよう構成したこと
    を特徴とする請求項1から請求項3のいずれか記載のク
    ロック信号分配回路。
  5. 【請求項5】 前記位相比較手段は、前記第1及び第2
    の可変遅延手段で遅延されたクロック信号と当該クロッ
    ク信号とは逆方向から伝達されるグローバルクロック信
    号とを夫々分周する第1及び第2の分周手段を含み、前
    記第1及び第2の可変遅延手段で遅延されたクロック信
    号と当該クロック信号とは逆方向から伝達されるグロー
    バルクロック信号との位相差が入力のサイクル時間の2
    分の1よりも大きい場合でも動作自在としたことを特徴
    とする請求項4記載のクロック信号分配回路。
  6. 【請求項6】 前記ローカルクロック生成手段は、前記
    グローバルクロック信号の遅延量を外部信号に応じて可
    変自在としかつ同一構成の第1及び第2の可変遅延手段
    と、当該クロック信号とは逆方向から伝達されるグロー
    バルクロック信号を予め設定された所定遅延量だけ遅延
    する第1及び第2の固定遅延手段と、前記第1及び第2
    の可変遅延手段で遅延されたクロック信号と前記第1及
    び第2の固定遅延手段で遅延されたクロック信号との位
    相を比較する位相比較手段と、前記位相比較手段の比較
    結果に基づいて前記第1及び第2の可変遅延手段におけ
    る遅延量を可変制御する制御手段とを含み、前記制御手
    段の制御によって前記グローバルクロック分配手段が互
    いに逆方向から分配する2つのグローバルクロック信号
    各々の位相の中間位相よりも前記所定遅延量だけ遅延さ
    れた位相をもつローカルクロック信号を生成するよう構
    成したことを特徴とする請求項1から請求項3のいずれ
    か記載のクロック信号分配回路。
  7. 【請求項7】 前記位相比較手段は、前記第1及び第2
    の可変遅延手段で遅延されたクロック信号と前記第1及
    び第2の固定遅延手段で遅延されたクロック信号とを夫
    々分周する第1及び第2の分周手段を含み、前記第1及
    び第2の可変遅延手段で遅延されたクロック信号と前記
    第1及び第2の固定遅延手段で遅延されたクロック信号
    との位相差が入力のサイクル時間の2分の1よりも大き
    い場合でも動作自在としたことを特徴とする請求項6記
    載のクロック信号分配回路。
  8. 【請求項8】 前記ローカルクロック分配手段は、前記
    ローカルクロック生成手段で生成された前記ローカルク
    ロック信号を分配するクロックツリー回路を含むことを
    特徴とする請求項1から請求項7のいずれか記載のクロ
    ック信号分配回路。
  9. 【請求項9】 前記ローカルクロック分配手段は、前記
    ローカルクロック生成手段で生成された前記ローカルク
    ロック信号を分配するクロックツリー回路と、前記ロー
    カルクロック信号と前記クロックツリー回路から帰還さ
    れるクロック信号との位相差をなくす遅延同期ループ回
    路とを含むことを特徴とする請求項1から請求項7のい
    ずれか記載のクロック信号分配回路。
  10. 【請求項10】 前記ローカルクロック分配手段は、前
    記ローカルクロック生成手段で生成された前記ローカル
    クロック信号を分配するクロックツリー回路と、前記ロ
    ーカルクロック信号と前記クロックツリー回路から帰還
    されるクロック信号との位相差をなくす位相同期ループ
    回路とを含むことを特徴とする請求項1から請求項6の
    いずれか記載のクロック信号分配回路。
  11. 【請求項11】 前記ローカルクロック生成手段及び前
    記ローカルクロック分配手段は、前記大規模集積回路を
    構成する複数の回路ブロック各々に配設されたことを特
    徴とする請求項1から請求項10のいずれか記載のクロ
    ック信号分配回路。
  12. 【請求項12】 前記複数の回路ブロックは、各々固有
    の電源電圧及びクロック周波数を持つことを特徴とする
    請求項11記載のクロック信号分配回路。
JP09244893A 1997-09-10 1997-09-10 クロック信号分配回路 Expired - Fee Related JP3085258B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP09244893A JP3085258B2 (ja) 1997-09-10 1997-09-10 クロック信号分配回路
US09/150,932 US6111448A (en) 1997-09-10 1998-09-10 Clock signal distribution circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP09244893A JP3085258B2 (ja) 1997-09-10 1997-09-10 クロック信号分配回路

Publications (2)

Publication Number Publication Date
JPH1185310A true JPH1185310A (ja) 1999-03-30
JP3085258B2 JP3085258B2 (ja) 2000-09-04

Family

ID=17125553

Family Applications (1)

Application Number Title Priority Date Filing Date
JP09244893A Expired - Fee Related JP3085258B2 (ja) 1997-09-10 1997-09-10 クロック信号分配回路

Country Status (2)

Country Link
US (1) US6111448A (ja)
JP (1) JP3085258B2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191632B1 (en) 1998-07-24 2001-02-20 Matsushita Electric Industrial Co., Ltd. Clock generation circuit and semiconductor integrated circuit
JP2006261544A (ja) * 2005-03-18 2006-09-28 Toshiba Corp 半導体集積回路装置
JP2007033386A (ja) * 2005-07-29 2007-02-08 Advantest Corp タイミング発生器及び半導体試験装置
EP1150427A3 (en) * 2000-04-27 2007-03-21 NEC Electronics Corporation Clock control circuit and method
JP2009070980A (ja) * 2007-09-12 2009-04-02 Sony Corp 半導体集積回路
US7701424B2 (en) 2003-03-19 2010-04-20 Seiko Epson Corporation Display panel having a substratum and a plurality of scan lines formed on the substratum, a display device, and electronic device thereof
JP2010244504A (ja) * 2008-08-15 2010-10-28 Sony Computer Entertainment Inc Lsiの電力低減のための適応的クロック位相制御方法および装置
US8125261B2 (en) 2003-07-22 2012-02-28 Nec Corporation Multi-power source semiconductor device

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6288589B1 (en) * 1997-11-20 2001-09-11 Intrinsity, Inc. Method and apparatus for generating clock signals
US6647506B1 (en) 1999-11-30 2003-11-11 Integrated Memory Logic, Inc. Universal synchronization clock signal derived using single forward and reverse direction clock signals even when phase delay between both signals is greater than one cycle
US6201448B1 (en) * 1999-12-28 2001-03-13 Intel Corporation Method and apparatus to reduce clock jitter of an on-chip clock signal
US6614862B1 (en) * 1999-12-30 2003-09-02 Sun Microsystems, Inc. Encoded clocks to distribute multiple clock signals to multiple devices in a computer system
US6429715B1 (en) * 2000-01-13 2002-08-06 Xilinx, Inc. Deskewing clock signals for off-chip devices
US6594772B1 (en) * 2000-01-14 2003-07-15 Hewlett-Packard Development Company, L.P. Clock distribution circuitry to different nodes on integrated circuit with clock coupling circuitry to maintain predetermined phase relation between output and input of adjacent nodes
US6718477B1 (en) 2000-03-06 2004-04-06 William C. Plants Delay locked loop for an FPGA architecture
US7171575B1 (en) 2000-03-06 2007-01-30 Actel Corporation Delay locked loop for and FPGA architecture
JP2001291388A (ja) * 2000-04-05 2001-10-19 Nec Corp Dll回路、それを使用する半導体装置及びタイミング生成方法
JP3440922B2 (ja) * 2000-05-10 2003-08-25 日本電気株式会社 集積回路
US6650161B2 (en) * 2000-12-28 2003-11-18 Intel Corporation Clock distribution network having regulated power supply
US6480994B1 (en) * 2001-02-15 2002-11-12 Lsi Logic Corporation Balanced clock placement for integrated circuits containing megacells
US6538957B2 (en) * 2001-05-14 2003-03-25 Sony Computer Entertainment America Inc. Apparatus and method for distributing a clock signal on a large scale integrated circuit
US6657917B2 (en) 2001-07-02 2003-12-02 Micron Technology, Inc. Selective adjustment of voltage controlled oscillator gain in a phase-locked loop
US6794912B2 (en) * 2002-02-18 2004-09-21 Matsushita Electric Industrial Co., Ltd. Multi-phase clock transmission circuit and method
US6774667B1 (en) 2002-05-09 2004-08-10 Actel Corporation Method and apparatus for a flexible chargepump scheme for field-programmable gate arrays
US7378867B1 (en) * 2002-06-04 2008-05-27 Actel Corporation Field-programmable gate array low voltage differential signaling driver utilizing two complimentary output buffers
JP3767520B2 (ja) * 2002-06-12 2006-04-19 日本電気株式会社 集積回路装置
US6765427B1 (en) 2002-08-08 2004-07-20 Actel Corporation Method and apparatus for bootstrapping a programmable antifuse circuit
TW560128B (en) * 2002-08-09 2003-11-01 Via Tech Inc Method and related circuitry for buffering output signals of a chip with even number driving circuits
US7434080B1 (en) * 2002-09-03 2008-10-07 Actel Corporation Apparatus for interfacing and testing a phase locked loop in a field programmable gate array
US6750674B1 (en) 2002-10-02 2004-06-15 Actel Corporation Carry chain for use between logic modules in a field programmable gate array
US6727726B1 (en) 2002-11-12 2004-04-27 Actel Corporation Field programmable gate array architecture including a buffer module and a method of distributing buffer modules in a field programmable gate array
US7171576B2 (en) * 2003-04-09 2007-01-30 International Business Machines Corporation Method, apparatus and program storage device for providing clocks to multiple frequency domains using a single input clock of variable frequency
US6838902B1 (en) * 2003-05-28 2005-01-04 Actel Corporation Synchronous first-in/first-out block memory for a field programmable gate array
US6825690B1 (en) 2003-05-28 2004-11-30 Actel Corporation Clock tree network in a field programmable gate array
US6867615B1 (en) * 2003-05-30 2005-03-15 Actel Corporation Dedicated input/output first in/first out module for a field programmable gate array
US7385419B1 (en) * 2003-05-30 2008-06-10 Actel Corporation Dedicated input/output first in/first out module for a field programmable gate array
US6990010B1 (en) * 2003-08-06 2006-01-24 Actel Corporation Deglitching circuits for a radiation-hardened static random access memory based programmable architecture
US7240315B1 (en) * 2003-08-29 2007-07-03 Xilinx, Inc. Automated local clock placement for FPGA designs
US7149994B1 (en) * 2003-08-29 2006-12-12 Xilinx, Inc. Integrated clock and input output placer
JP2005100269A (ja) * 2003-09-26 2005-04-14 Toshiba Microelectronics Corp 半導体集積回路
US7528638B2 (en) * 2003-12-22 2009-05-05 Micron Technology, Inc. Clock signal distribution with reduced parasitic loading effects
US7180353B2 (en) * 2005-02-03 2007-02-20 Mediatek Incorporation Apparatus and method for low power clock distribution
US8164368B2 (en) 2005-04-19 2012-04-24 Micron Technology, Inc. Power savings mode for memory systems
US7368961B2 (en) * 2005-12-22 2008-05-06 Rambus Inc. Clock distribution network supporting low-power mode
JP2008135835A (ja) * 2006-11-27 2008-06-12 Fujitsu Ltd Pll回路
WO2011046845A2 (en) 2009-10-15 2011-04-21 Rambus Inc. Signal distribution networks and related methods
US9041452B2 (en) * 2010-01-27 2015-05-26 Silicon Laboratories Inc. Circuit and method of clocking multiple digital circuits in multiple phases
KR102472946B1 (ko) * 2016-02-26 2022-12-05 에스케이하이닉스 주식회사 신호 복원 회로
US10234891B2 (en) * 2016-03-16 2019-03-19 Ricoh Company, Ltd. Semiconductor integrated circuit, and method for supplying clock signals in semiconductor integrated circuit

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3073547B2 (ja) * 1990-05-31 2000-08-07 株式会社東芝 クロック分配回路
JPH04205326A (ja) * 1990-11-30 1992-07-27 Fujitsu Ltd 並列計算機のクロック発生方式
JPH05268206A (ja) * 1992-03-19 1993-10-15 Fujitsu Ltd ディジタルシステムにおける同期制御信号供給方法
JPH0812574B2 (ja) * 1992-11-12 1996-02-07 日本電気株式会社 集積回路装置
GB9411602D0 (en) * 1994-06-09 1994-08-03 Inmos Ltd Pulse generation
JPH0844455A (ja) * 1994-07-28 1996-02-16 Hitachi Ltd クロック供給方式
JPH0854957A (ja) * 1994-08-12 1996-02-27 Hitachi Ltd クロック分配システム
JP2820086B2 (ja) * 1995-11-10 1998-11-05 日本電気株式会社 クロック分配システム
JPH09330142A (ja) * 1996-06-12 1997-12-22 Hitachi Ltd クロック回路

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6191632B1 (en) 1998-07-24 2001-02-20 Matsushita Electric Industrial Co., Ltd. Clock generation circuit and semiconductor integrated circuit
EP1150427A3 (en) * 2000-04-27 2007-03-21 NEC Electronics Corporation Clock control circuit and method
US7701424B2 (en) 2003-03-19 2010-04-20 Seiko Epson Corporation Display panel having a substratum and a plurality of scan lines formed on the substratum, a display device, and electronic device thereof
US8125261B2 (en) 2003-07-22 2012-02-28 Nec Corporation Multi-power source semiconductor device
JP2006261544A (ja) * 2005-03-18 2006-09-28 Toshiba Corp 半導体集積回路装置
JP2007033386A (ja) * 2005-07-29 2007-02-08 Advantest Corp タイミング発生器及び半導体試験装置
JP4657053B2 (ja) * 2005-07-29 2011-03-23 株式会社アドバンテスト タイミング発生器及び半導体試験装置
JP2009070980A (ja) * 2007-09-12 2009-04-02 Sony Corp 半導体集積回路
JP2010244504A (ja) * 2008-08-15 2010-10-28 Sony Computer Entertainment Inc Lsiの電力低減のための適応的クロック位相制御方法および装置

Also Published As

Publication number Publication date
JP3085258B2 (ja) 2000-09-04
US6111448A (en) 2000-08-29

Similar Documents

Publication Publication Date Title
JP3085258B2 (ja) クロック信号分配回路
US6150866A (en) Clock supplying circuit and integrated circuit device using it
US6396320B2 (en) Clock control method and circuit
US5914996A (en) Multiple clock frequency divider with fifty percent duty cycle output
US6300807B1 (en) Timing-control circuit device and clock distribution system
US5307381A (en) Skew-free clock signal distribution network in a microprocessor
US8290109B2 (en) Circuit, system and method for multiplexing signals with reduced jitter
US20040100333A1 (en) Semiconductor integrated circuit having oscillators or oscillation circuits connected to a wiring line at connection points with intervals in length therebetween
US6271697B1 (en) Semiconductor integrated circuit device
KR20000035108A (ko) 반도체 장치
US7119598B2 (en) Clock control circuit and method
US5638019A (en) Accurately generating precisely skewed clock signals
KR101038470B1 (ko) 동작영역이 넓은 디지털제어발진기
US7092313B2 (en) Semiconductor integrated circuit
US6504414B2 (en) Clock control method and circuit
US7157951B1 (en) Digital clock manager capacitive trim unit
US8283962B2 (en) Semiconductor device and operation method thereof for generating phase clock signals
KR100415544B1 (ko) 양방향 지연을 이용한 디엘엘 회로
US6333659B1 (en) Clock control method and circuit
KR100792379B1 (ko) 여러 주파수의 동작이 가능한 지연고정루프 및지연고정루프의 주파수 분주방법.
JP3896632B2 (ja) 集積回路
JPH087643B2 (ja) 情報処理システム
US20030169083A1 (en) Dynamic delay line control
JPH0444107A (ja) クロック供給回路
JPS63305611A (ja) クロツク信号供給装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070707

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080707

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090707

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100707

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110707

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110707

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120707

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120707

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130707

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees