JPH1165887A - プロセッサ - Google Patents

プロセッサ

Info

Publication number
JPH1165887A
JPH1165887A JP9225925A JP22592597A JPH1165887A JP H1165887 A JPH1165887 A JP H1165887A JP 9225925 A JP9225925 A JP 9225925A JP 22592597 A JP22592597 A JP 22592597A JP H1165887 A JPH1165887 A JP H1165887A
Authority
JP
Japan
Prior art keywords
address
processor
program
interrupt
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9225925A
Other languages
English (en)
Inventor
Tsutomu Fukatsu
勉 普勝
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP9225925A priority Critical patent/JPH1165887A/ja
Publication of JPH1165887A publication Critical patent/JPH1165887A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Debugging And Monitoring (AREA)

Abstract

(57)【要約】 【課題】 簡単な付加回路で動作内容を検証できるよう
にする。 【解決手段】 メモリ・システム16のアドレス出力P
C_H、PC_Lが示すデータ・アドレスに、検証した
いプログラム・アドレス値を書き込み、PC_ILに検
証に使用する割り込み信号を指定するデータを書き込
む。比較回路24は、プログラム・カウンタ22のプロ
グラムカウント値がPC_L以上かどうかを示す比較結
果信号を出力し、比較回路26は、プログラムカウント
値がPC_H以下か否かを示す比較結果信号を出力す
る。アンド・ゲート28は両比較回路24,26の比較
結果出力の論理積を割り込み要求切替え手段30に供給
する。割り込み要求切替え手段30は、割り込みレベル
選択データ信号に応じて、外部からの複数の割り込み処
理要求入力のうちの一つをアンド・ゲート28の出力で
置き換える。これによって、プログラムカウンタ22の
カウント値に応じた処理を割り込みにより実行させるこ
とが可能になり、これを検証に利用する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、プロセッサに関
し、より具体的には、動作内容を検証する検証機能を具
備するプロセッサに関する。
【0002】
【従来の技術】従来、プロセッサの動作を検証するに
は、インサーキット・エミュレータ、即ち、回路実装状
態でプロセッサを逐次的に動作させることができ、内部
メモリなどの状態を外部から観察及び変更できる装置が
使用されてきた。
【0003】また、プロセッサ自身の処理、及び、プロ
セッサとその周辺装置との協調処理を適宜に変更する手
段として、割り込み処理がある。割り込みは、分岐命令
と同じく、命令実行の通常の流れを変えるものであり、
そもそもは、算術演算エラー処理及び、各種プロセッサ
周辺イベントのリアルタイム応答のために考案されたも
のである。
【0004】
【発明が解決しようとする課題】インサーキット・エミ
ュレータは、そのハードウェア規模が、本来のプロセッ
サと比較して大きなものとなること、及び、量産効果が
低い等の理由から集積化が困難であり、プロセッサを構
成要素とする種々の情報処理回路にあって、プロセッサ
とその周囲の回路装置の動作を併せて検証するには、電
気的特性の信頼性を維持するのが困難であるだけでな
く、プロセッサの本来の処理速度でのエミュレーション
が困難になってきている。
【0005】本発明は、このような問題点を解決し、プ
ロセッサの動作を小さな回路構成で容易に検証できる検
証装置を提示することを目的とする。
【0006】本発明はまた、プロセッサICに一体化し
やすい検証装置を提示することを目的とする。
【0007】本発明はまた、モニタ・ピンを付加する必
要無しに、プロセッサICに一体化できる検証装置を提
示することを目的とする。
【0008】本発明は更に、検証機能を具備するプロセ
ッサを提示することを目的とする。
【0009】
【課題を解決するための手段】本発明に係るプロセッサ
は、与えられたプログラムの内容に従って命令を実行す
るプロセッサであって、次に実行する命令のプログラム
アドレスを発生するプログラム・カウンタのカウント値
に応じてシーケンサへの割り込み要求信号を生成する割
り込み要求手段を具備することを特徴とする。
【0010】また、本発明に係るプロセッサは、与えら
れたプログラムの内容に従って命令を実行するプロセッ
サであって、次に実行する命令のプログラムアドレスを
発生するプログラム・カウンタのカウント値と所定のア
ドレス又はアドレス範囲を比較する比較手段と、当該比
較手段の出力に応じてシーケンサへの割り込み要求信号
を生成する割り込み要求手段とを具備することを特徴と
する。
【0011】更に、本発明に係るプロセッサは、与えら
れたプログラムの内容に従って命令を実行するプロセッ
サであって、次に実行する命令のプログラムアドレスを
発生するプログラム・カウンタのカウント値と第1のア
ドレスとを比較し、その比較結果を出力する第1の比較
手段と、当該プログラム・カウンタのカウント値と第2
のアドレスとを比較し、その比較結果を出力する第2の
比較手段と、当該第1及び第2の比較手段の出力を論理
演算する論理演算手段と、割り込み切替え制御信号に応
じて、プロセッサ外部から供給される割り込み要求信号
及び当該論理演算手段の出力からシーケンサへの割り込
み要求信号を生成する割り込み要求手段とを具備するこ
とを特徴とする。
【0012】当該第1及び第2のアドレスを適当な値に
設定し、当該割り込み切替え制御信号を適切に設定する
ことにより、プロセッサの内部状態のデータなどを自在
に取り出すことができる。
【0013】
【発明の実施の形態】以下、図面を参照して、本発明の
実施の形態を詳細に説明する。
【0014】図1は、本発明の一実施例の概略構成ブロ
ック図を示す。10は、3つの独立したアドレス入力R
A,RB,WA、これらの各アドレスRA,RB,WA
に対応するレジスタの内容を出力するデータ出力QA,
QB、レジスタへデータを入力するデータ入力DI、及
び、レジスタへの書き込みを制御する書き込みイネーブ
ル信号の入力端子WEを有するレジスタ・ファイル(R
GF)である。
【0015】12は、2つのデータ入力SA,SB、こ
れらの入力データの演算結果のデータ出力DO及び演算
制御入力cntを有する演算器(LIU)であり、14
は、2つのデータ入力SA,SB、これらの入力データ
の演算結果のデータ出力DO、及び演算制御入力cnt
を有する演算器(SIU)である。
【0016】16はデータ及びインストラクションを記
憶するメモリシステム(MEM)であって、データ・ア
ドレス入力DA、データ入出力DIO、インストラクシ
ョン・アドレス入力IA、インストラクション出力IS
O、あらかじめ定められた異なる3つのアドレスを出力
するアドレス・データ出力PC_H,PC_L,PC_
ILを有し、ROM、RAM、磁気ディスク及び外部I
/O等からなる。メモリ・システム16はまた、キャッ
シュ及び仮想記憶等の手法で階層化されて構成されるこ
ともある。
【0017】18は、2つのデータ入力SA,SB、1
つのデータ出力DO、アドレス出力DA、メモリ入出力
MIO及び制御入力cntを有し、制御入力cntに供
給される制御信号に従い、データ入力SA,SBの入力
データから生成したアドレスをアドレス出力DAから出
力し、メモリ入出力MIOを経由してレジスタ・ファイ
ル(RGF)10とメモリ・システム(MEM)16と
の間でデータを相互に転送し、また、プロセッサ外の素
子とデータを授受するためのポートEXT_IOを利用
して装置外の回路との間でデータを転送するメモリ・イ
ンタフェース(MIF)である。
【0018】20は、レジスタ・ファイル読み出しアド
レス出力RA,RB、レジスタ・ファイル書き込み制御
信号出力WE、レジスタ・ファイル書き込みアドレス出
力WA、演算器制御信号出力CSU,CLU、メモリ・
インタフェース制御信号出力CMI、データ入力DI、
メモリ入力IIN及びメモリ・アドレス出力PCOを有
し、メモリ・アドレス出力PCOから出力されるアドレ
スで特定されるメモリ・システム16内の記憶位置から
インストラクションを読み出してメモリ入力IINから
取り込み、そのインストラクションに従い、レジスタ・
ファイル(RGF)10、演算器(LIU,SIU)1
2,14及びメモリ・インタフェース(MIF)18を
制御するシーケンサ(SEQ)である。
【0019】22は、データ入力SA,SB、データ出
力DO、アドレス出力PCO及び制御入力CNTを有す
るプログラム・カウンタ(PC)であり、制御入力CN
Tに入力される制御信号に応じてアドレスをアドレス出
力PCOから出力する。
【0020】24,26は、2つの入力A1,A2及び
1つの出力Yを有し、2つの入力A1、A2の入力デー
タの大小を比較し、その比較結果を出力Yから出力する
比較回路である。28は比較回路24,26の出力Yの
論理積をとるアンド・ゲート、30は、割り込み切り替
え制御入力INT_SWを有し、アンドゲート28の出
力とプロセッサ外部から供給される割り込み要求信号か
らシーケンサ20への割り込み処理要求信号を生成する
割り込み要求切替え手段である。
【0021】レジスタ・ファイル10のデータ出力QA
は、演算器12、14、メモリ・インタフェース18及
びプログラム・カウンタ22の各データ入力SA、並び
にシーケンサ20のデータ入力DIに供給されている。
【0022】レジスタ・ファイル10のデータ出力QB
は、演算器12,14、メモリ・インタフェース18及
びプログラム・カウンタ22の各データ入力SBに供給
されている。
【0023】演算器12,14、メモリ・インタフェー
ス18及びプログラム・カウンタ22の各データ出力D
Oは、レジスタ・ファイル10のデータ入力DIに接続
されている。
【0024】メモリ・インタフェース18のメモリ入出
力MIOは、メモリ・システム16のデータ入出力DI
Oに接続され、メモリ・インタフェース18のアドレス
出力DAは、メモリシステム16のデータ・アドレス入
力DAに接続されている。
【0025】メモリ・システム16のデータ出力PC_
ILは、割り込み要求切替え手段30の制御入力INT
_SWに接続されている。メモリ・システム16のデー
タ出力PC_H,PC_Lはそれぞれ、比較回路26の
入力A及び比較回路24の入力Bに接続されている。
【0026】プログラム・カウンタ22のメモリ・アド
レス出力PCOは、メモリ・システム16のインストラ
クション・アドレスIA、比較回路24の入力A及び比
較回路26の入力Bに接続されている。
【0027】比較回路24,26の各出力は、アンドゲ
ート28の各入力に接続されており、アンド・ゲート2
8の出力は、割り込み要求切替え手段30に供給されて
いる。
【0028】シーケンサ20のインストラクション入力
IINは、メモリ・システム16のインストラクション
出力ISOに接続されている。
【0029】シーケンサ20のレジスタ・ファイル読み
出しアドレス出力RA,RB、レジスタ・ファイル書き
込み制御信号出力WE及びレジスタ・ファイル書き込み
アドレス出力WAはそれぞれ、レジスタ・ファイル10
のレジスタ・ファイル読み出しアドレス入力RA,R
B、書き込み制御信号入力WE及び書き込みアドレス入
力WAに接続されている。
【0030】シーケンサ20の演算器制御信号出力CS
U,CLUはそれぞれ、演算器12,14の制御信号入
力cntに接続されている。シーケンサ20のメモリ・
インタフェース制御信号出力CMIは、メモリ・インタ
フェース18の制御信号入力cntに接続されている。
【0031】次に、図1に示すプロセッサの割り込み動
作の概要を説明する。図1に示すプロセッサは、公知の
プロセッサと同様に、優先順位に関して複数の割り込み
レベルを具備し、公知のプロセッサと同様の以下の順序
で各割り込み要求が処理される。
【0032】即ち、同時に複数の割り込み処理要求があ
った場合、又は、低優先順位の割り込み処理実行中に、
より高位の割り込み処理要求があった場合には、より高
位の割り込み処理要求が終了するまで低優先順位の割り
込み処理は休止又は中断される。低優先順位の割り込み
処理は、高位の割り込み処理が終了した後に、開始又は
再開される。
【0033】ある優先順位の割り込み処理の実行中に、
実行中の割り込み処理と同じ優先順位又は低位の割り込
み要求があったときには、供給された割り込み処理を記
憶しておき、実行中の割り込み処理を終了した後に、そ
の記憶していた割り込み要求に基づく割り込み処理を開
始する。
【0034】実行中の割り込み処理が終了した場合で、
待機中の割り込み要求が複数あるときには、優先順位の
高い割り込み処理を実行する。勿論、優先順位が同じ複
数の割り込み要求が待機している場合には、より早く要
求された割り込み処理を実行する。
【0035】割り込みは、優先度により分類された割り
込み要求毎に予め分岐先アドレスが設定されており、割
り込み処理では、割り込み要求入力に対して、該当する
アドレスからプログラム中の割り込み復帰命令までのプ
ログラムが実行される。
【0036】次に、本実施例における、割り込み動作を
利用した検証機構を説明する。メモリ・システム16の
アドレス出力PC_H、PC_Lが示すデータ・アドレ
スのメモリに検証したいプログラム・アドレス値を書き
込み、PC_ILに検証に使用する割り込み信号を指定
するデータを書き込む。この書き込み動作は、プロセッ
サ自身がプログラム内のストア命令で行うことも可能で
あるし、プロセッサ外とデータの授受を行うためのポー
トEXT_IOを利用して、メモリ・インタフェース1
8を経由してプロセッサ外から設定することも可能であ
る。
【0037】設定されたアドレス値は、比較回路24,
26の一方の入力に印加されており、比較回路24,2
6の他方の入力には、プログラム・カウンタ22のプロ
グラム・カウント値が印加されている。比較回路24,
26は両入力を比較し、比較回路24は、プログラムカ
ウント値がPC_L以上かどうかを示す比較結果信号を
出力し、比較回路26は、プログラムカウント値がPC
_H以下か否かを示す比較結果信号を出力する。アンド
・ゲート28は両比較回路24,26の比較結果出力の
論理積を割り込み要求切替え手段30に供給する。割り
込み要求切替え手段30は、割り込みレベル選択データ
信号に応じて、外部からの複数の割り込み処理要求入力
のうちの一つをアンド・ゲート28の出力で置き換え
る。これによって、プログラムカウンタ22のカウント
値に応じた処理を割り込みにより実行させることが可能
になる。これを動作検証に利用する。
【0038】例えば、あるアドレスA0の命令をアクセ
スした時点でのレジスタの履歴を取得したい場合には、
アドレスPC_H,PC_Lに、前述の方法を用いて値
A0を書き込む。PC_ILには、割り込み要求切替え
手段30が最上位の優先順位を有する割り込み要求入力
としてアンド・ゲート28の出力を選択するような値を
書き込む。最上位割り込み要求に対する処理として、レ
ジスタの値を、メモリ・システム16又はプロセッサ外
に出力するプログラムを記述しておく。これによって、
他のいかなる割り込み処理の実行の有無に関わらず、所
望のアドレスの命令にアクセスした時点のプロセッサの
状態を知ることができる。
【0039】また、あるアドレスの範囲内でのマシンの
状態の詳細を把握したい場合には、アドレスPC_Hに
そのアドレス範囲の上限アドレスAhを設定すると共
に、PC_Lにはそのアドレス範囲の下限アドレスAl
を設定し、PC_ILには、割り込み要求切替え手段3
0が2番目の優先順位を有する割り込み要求入力として
アンド・ゲート28の出力を選択するような値を書き込
む。この2番目の優先順位を有する割り込み要求に対す
る処理として、2番目の割り込み要求入力があった時点
の命令アドレスを保持している以外、何もしないプログ
ラムを記述しておく。また、最上位優先順位の割り込み
処理要求に対する処理として、保持された命令アドレス
から最上位割り込み要求がある毎にプログラムの進行を
1命令ずつ進めるようなプログラムを記述しておく。こ
れにより、あるアドレスの範囲内のマシンの状態を詳細
に把握できる。いうまでもないが、最上位優先順位の割
り込み処理として、前述したレジスタ履歴の取得処理を
付加しても良い。
【0040】図2は、図1に示すプロセッサを使用する
ディジタル映像記録再生装置の概略構成ブロック図を示
す。110は、変換・逆変換、圧縮・伸長、誤り検出訂
正符号化・復号化及び変調・復調の各処理を実行する演
算処理装置、112は、演算処理装置110により処理
された映像・音声情報を不図示の磁気記録系へ変換する
電磁変換系、114は、演算処理装置110における処
理のために映像音声情報を記憶するメモリ、116は図
1に示すプロセッサからなり、音声信号処理を実行する
と共に他の構成要素を制御する制御回路、118は制御
回路116で必要とする情報を記憶するメモリである。
【0041】120は、比較的低速のデータを転送する
データ・インターフェース、122は、主に演算処理装
置110とメモリ114の間で高速にデータを転送する
データ・インターフェース、124は、図示しない記憶
媒体制御系等との間でデータを転送するデータ・インタ
ーフェース、126は、メモリ118、データ・インタ
ーフェース120、データ・インターフェース124を
制御回路116の記憶空間としてマッピングするメモリ
・マネジメント・ユニット(MMU)である。
【0042】128は映像入力端子、130は映像出力
端子であり、共に演算処理装置110に接続する。13
2は音声入力端子、134は音声出力端子であり、とも
にデータ・インターフェース120に接続する。136
は図示しない記憶媒体制御系等を接続する外部入出力端
子であり、データ・インターフェース124に接続す
る。
【0043】138は割り込み制御信号の入力端子、1
40はリセット信号の入力端子であり、これらの入力信
号は、制御回路116に印加される。入力端子138に
は、音声信号のサンプリング、映像信号の同期タイミン
グ及び利用者の操作等に応じて発生した割り込み信号が
入力される。
【0044】映像入力端子128に入力するディジタル
映像信号データは、演算処理装置110に印加される。
演算処理装置110は、高速データ・インターフェース
122を介して接続するメモリ114を使用して、映像
入力端子128からのディジタル映像信号データに、シ
ャッフリング、DCTなどのデータ変換、ハフマン符号
化等の圧縮処理及びリードソロモン符号化等の誤り検出
訂正符号化を施す。
【0045】また、音声入力端子132に入力する音声
データは、データ・インターフェース120に印加され
る。データ・インターフェース120がMMU126を
経由して制御回路116の記憶空間の一部にマッピング
されているので、音声入力端子132からの音声データ
は、制御回路116がデータ取り込み命令をその記憶空
間に対して実行することにより、制御回路116に取り
込まれる。制御回路116は、入力した音声データにフ
ェード処理等を施した後、MMU126を経由してデー
タ・インターフェース120の、データ・インターフェ
ース122によりアクセス可能な箇所にストアする。
【0046】その後、音声データはデータ・インターフ
ェース120からデータ・インターフェース122に転
送され、メモリ114に書き込まれる。メモリ114へ
の書き込みの際、時系列的に隣接する音声データは、メ
モリ114のメモリ空間上、異なった場所に書き込ま
れ、これにより、シャッフリングが行なわれる。メモリ
114にシャッフリングされて書き込まれた音声データ
は、読み出され、演算処理装置110により誤り検出訂
正符号化される。
【0047】演算処理装置110は、このように誤り検
出訂正符号化された映像データ及び音声データにビタビ
符号等の変調処理を施し、電磁変換系112を介して図
示しない記録再生系に供給する。このようにして、記録
再生系で映像と音声が記録される。
【0048】図示しない記録再生系に記録された信号
は、再生時には、電磁変換系112を介して演算処理装
置110に供給される。演算処理装置110は、電磁変
換系112からの再生信号を復調処理し、その後、映像
情報については、誤り検出訂正処理、伸長処理、データ
逆変換処理及び補間処理等を施して、映像出力端子13
0に出力し、音声情報については、誤り検出訂正処理
し、データ・インターフェース122を介してデータ・
インターフェース120に供給する。データ・インター
フェース120に供給された音声データは、制御回路1
16がMMU126に該当する記憶空間へのロード命令
を発行することで、制御回路116に取り込まれる。こ
の際、記録時のシャッフリングを戻すデシャッフリング
が行なわれる。デシャッフリングにより時系列的にも復
元された音声データは、補間処理及びフェード処理等を
施され、データ・インターフェース120の音声出力記
憶空間にストアされ、音声出力端子134から出力され
る。
【0049】割り込み制御信号入力端子138には、音
声信号のサンプリングや、映像信号の同期タイミング及
び利用者の操作等に応じて発生した割り込み信号が入力
されており、制御回路116は、入力した割り込み信号
に応じた処理を実行する。
【0050】
【発明の効果】以上の説明から容易に理解できるよう
に、本発明によれば、非常に簡単な回路を付加するだけ
で、プロセッサの動作を検証できるようになり、実用
上、著しい効果がある。
【図面の簡単な説明】
【図1】 本発明の一実施例の概略構成ブロック図であ
る。
【図2】 本実施例に係るプロセッサを使用するディジ
タル映像音声記録再生装置の概略構成ブロック図であ
る。
【符号の説明】
10:レジスタ・ファイル(RGF) 12:演算器(LIU) 14:演算器(SIU) 16:メモリ・システム(MEM) 18:メモリ・インターフェース 20:シーケンサ 22:プログラム・カウンタ・ユニット(PCU) 24,26:比較回路 28:アンド・ゲート 30:割り込み要求切替え手段 110:演算処理装置 112:電磁変換系 114:メモリ 116:制御回路 118:メモリ 120:データ・インターフェース 122:データ・インターフェース 124:データ・インターフェース 126:メモリ・マネジメント・ユニット(MMU) 128:映像入力端子 130:映像出力端子 132:音声入力端子 134:音声出力端子 136:外部入出力端子 138:割り込み信号入力端子 140:リセット信号入力端子

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 与えられたプログラムの内容に従って命
    令を実行するプロセッサであって、次に実行する命令の
    プログラムアドレスを発生するプログラム・カウンタの
    カウント値に応じてシーケンサへの割り込み要求信号を
    生成する割り込み要求手段を具備することを特徴とする
    プロセッサ。
  2. 【請求項2】 与えられたプログラムの内容に従って命
    令を実行するプロセッサであって、 次に実行する命令のプログラムアドレスを発生するプロ
    グラム・カウンタのカウント値と所定のアドレス又はア
    ドレス範囲を比較する比較手段と、 当該比較手段の出力に応じてシーケンサへの割り込み要
    求信号を生成する割り込み要求手段とを具備することを
    特徴とするプロセッサ。
  3. 【請求項3】 与えられたプログラムの内容に従って命
    令を実行するプロセッサであって、 次に実行する命令のプログラムアドレスを発生するプロ
    グラム・カウンタのカウント値と第1のアドレスとを比
    較し、その比較結果を出力する第1の比較手段と、 当該プログラム・カウンタのカウント値と第2のアドレ
    スとを比較し、その比較結果を出力する第2の比較手段
    と、 当該第1及び第2の比較手段の出力を論理演算する論理
    演算手段と、 割り込み切替え制御信号に応じて、プロセッサ外部から
    供給される割り込み要求信号及び当該論理演算手段の出
    力からシーケンサへの割り込み要求信号を生成する割り
    込み要求手段とを具備することを特徴とするプロセッ
    サ。
  4. 【請求項4】 当該割り込み切替え制御信号は、当該論
    理演算回路の出力に応じた割り込みの優先順位に係わる
    信号であることを特徴とする請求項3に記載のプロセッ
    サ。
  5. 【請求項5】 当該第1のアドレス及び第2のアドレス
    を記憶するメモリを有し、当該プロセッサ内の命令によ
    り当該メモリに当該第1のアドレス及び第2のアドレス
    を書き込むことを特徴とする請求項3又は4に記載のプ
    ロセッサ。
  6. 【請求項6】 当該割り込み要求手段により生成される
    割り込み要求信号により、当該プロセッサの動作を検証
    することを特徴とする請求項1乃至5の何れか1項に記
    載のプロセッサ。
JP9225925A 1997-08-22 1997-08-22 プロセッサ Pending JPH1165887A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9225925A JPH1165887A (ja) 1997-08-22 1997-08-22 プロセッサ

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9225925A JPH1165887A (ja) 1997-08-22 1997-08-22 プロセッサ

Publications (1)

Publication Number Publication Date
JPH1165887A true JPH1165887A (ja) 1999-03-09

Family

ID=16837049

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9225925A Pending JPH1165887A (ja) 1997-08-22 1997-08-22 プロセッサ

Country Status (1)

Country Link
JP (1) JPH1165887A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010033590A (ja) * 2000-05-29 2010-02-12 Seiko Epson Corp 割込信号生成装置及び割込信号の生成方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010033590A (ja) * 2000-05-29 2010-02-12 Seiko Epson Corp 割込信号生成装置及び割込信号の生成方法

Similar Documents

Publication Publication Date Title
KR930008686B1 (ko) 정보 처리장치
US7197671B2 (en) Generation of trace elements within a data processing apparatus
US5109333A (en) Data transfer control method and apparatus for co-processor system
US20030051122A1 (en) Trace information generation apparatus for generating branch trace information omitting at least part of branch source information and branch destination information on target processing
US20030120880A1 (en) System having read-modify-write unit
JPS58501560A (ja) マイクロプロセツサ
JP2816146B2 (ja) 回路動作テスト装置
US5142630A (en) System for calculating branch destination address based upon address mode bit in operand before executing an instruction which changes the address mode and branching
JPH1165887A (ja) プロセッサ
JP2918019B2 (ja) シングルチップマイクロプロセッサのテスト回路
JP2000122919A (ja) プロセッサ及びメモリ制御方法
US20040268355A1 (en) Method of executing concurrent tasks by a subsystem managed by a central processor
JPH11259335A (ja) トレーサ装置、トレースデータ圧縮方法および圧縮トレースデータ読み出し方法
JP4006065B2 (ja) プロセッサ
JPS5984292A (ja) Crtデイスプレイ制御装置
JP3996982B2 (ja) プロセッサ
JP3173807B2 (ja) 記憶装置の切替制御装置
JPH10283197A (ja) プロセッサ及び割り込み調停方法
JPS6041766B2 (ja) マイクロプログラム制御装置
JPH087713B2 (ja) マイクロプロセッサ
JPH07505242A (ja) メモリ制御ユニット並びに入出力制御ユニットの動作におけるクリティカル・パスの削除
JP2002073351A (ja) データ処理ユニット、データ処理装置および制御方法
JPH10283186A (ja) プロセッサ
JPH09311784A (ja) プロセッサ
JPH0756734A (ja) マイクロプロセッサ及びその制御方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040816

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070619

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20071106