JPH11274090A - 浅い接合を有するデバイスの製造方法 - Google Patents

浅い接合を有するデバイスの製造方法

Info

Publication number
JPH11274090A
JPH11274090A JP11034145A JP3414599A JPH11274090A JP H11274090 A JPH11274090 A JP H11274090A JP 11034145 A JP11034145 A JP 11034145A JP 3414599 A JP3414599 A JP 3414599A JP H11274090 A JPH11274090 A JP H11274090A
Authority
JP
Japan
Prior art keywords
substrate
dopant
silicon
atoms
distribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11034145A
Other languages
English (en)
Inventor
Michel Ranjit Frei
ランジット フレイ マイケル
Ya-Hong Xie
ユオン シー−ホン−ハ
クサイ ヤ−ホン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nokia of America Corp
Original Assignee
Lucent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lucent Technologies Inc filed Critical Lucent Technologies Inc
Publication of JPH11274090A publication Critical patent/JPH11274090A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8248Combination of bipolar and field-effect technology
    • H01L21/8249Bipolar and MOS technology
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66272Silicon vertical transistors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Bipolar Transistors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

(57)【要約】 【課題】 本発明は、浅い接合を有するデバイスの製造
方法を提供する。 【解決手段】 結晶基板中に所望のドーパント分布を得
るために、一時的促進拡散(TED)が用いられるデバ
イス作製プロセスが、明らかにされている。このプロセ
スにおいて、ドーパント及び非ドーパントの両方が基板
の同じ領域中に導入される。その後の熱アニール中の基
板中へのドーパントの拡散は、非ドーパントによって影
響を受ける。基板中に導入される非ドーパントの量は、
その後の熱アニールとともに、基板中の所望のドーパン
ト分布が得られるよう選択される。非ドーパントの濃度
は、約6×1016原子/cm3 乃至約3×1021原子/
cm3 の範囲である。次に、所望のドーパント分布を得
るために、基板は約700℃ないし約950℃の範囲
で、アニールされる。

Description

【発明の詳細な説明】
【0001】
【本発明の背景】
【技術分野】本発明はデバイスが浅い接合を有する半導
体デバイスであるデバイスの製造方法に係る。
【0002】
【技術背景】電界効果トランジスタ(FET)のような
相補金属−酸化物−半導体(CMOS)デバイス及びバ
イポーラ接合トランジスタデバイス(BJT)のような
半導体デバイスは、基板中に不純物(ドーパント)を導
入することにより、基板中に形成された接合を有する。
接合(たとえばCMOSデバイス中のソース及びドレイ
ン、及びバイポーラデバイス中のエミッタ)の領域を形
成するために用いられるドーパントは、これらの領域を
囲む半導体基板とは異なる形(n形又はp形)をもつ。
これらの領域は相対するドーピング形で、そのためソー
スから半導体基体へ、又はドレインから半導体基体へ、
本質的に電流は流れない。
【0003】制御可能で、再現性あり、最も望ましくな
い側面効果がないドーパント原子導入の通常の方法はイ
オン注入である。イオン注入中、ドーパント原子はイオ
ン化され、加速され、シリコン基板のような結晶基板に
向けられる。ドーパント原子はシリコン基板の結晶格子
に入り、シリコン原子と衝突し、徐々にエネルギーを失
い、最後に結晶格子内のある深さに静止する。平均の深
さは、加速エネルギーを調整することにより制御され
る。ドーパントドーズは注入中、イオン電流をモニター
することにより制御される。
【0004】イオン注入の1つの結果は、欠陥が結晶格
子中に導入されることである。シリコン基板中で、これ
らの欠陥は注入中結晶格子からずれたシリコン原子であ
る。注入により生じたこれらの格子間シリコンの存在に
より、ホウ素及びリンのようなある種のドーパントは、
もし格子間シリコンが存在しなければ、そうであった所
より、更にシリコン基板中に拡散する。ドーパントの拡
散に対する格子間シリコンの効果は、一時的促進拡散
(TED)とよばれる。この効果は一時的である。なぜ
なら、格子間シリコンの量、従ってドーパント拡散に対
する格子間シリコンの効果は、基板がアニールされた
時、減少するからである。
【0005】半導体デバイスの設計則は、0.5μmか
ら0.35μmへ、0.25μmから0.18μmへと
いったように、次第に小さくなっている。設計則が減少
するにつれ、必要なドーパント分布(ドーパント分布は
基板中の深さの関数としてのドーパントの濃度)は、次
第に達成が困難になっている。これは高濃度のドーパン
トが導入される所望の領域(たとえばソース、ドレイ
ン、エミッタ等)は、小さくなっていくからである。た
とえば、バイポーラトランジスタのエミッタ/ベース接
合中のドーパント分布は、(n−p−nトランジスタの
場合)電子が高効率動作のため、薄いベース領域を横切
って移動するよう、注意深く制御しなければならない。
しかし、設計則が減少するにつれ、TED効果は最終的
なドーズ分布中でより重要になる。TED効果は注入エ
ネルギー及びドーズに、依存して変り、従って具体的な
ドーパント分布に必要な条件は、TED効果が重大にな
る時、選択は難しくなる。また、所望のドーパント分布
が導入される領域の深さが減少するにつれ、ドーパント
注入により生じる損傷(すなわち結晶欠陥)は、オフの
時、デバイスの漏れ電流を許容できないほど増加させ、
オンの時、デバイスのキャリヤ移動度を許容できないほ
ど減少させる可能性がある。
【0006】TEDは注入後アニーリング中起り、ドー
パント原子、特にホウ素(B)及びリン(P)は注入に
より生じた過剰の自己格子間シリコン(Si)により、
望ましくないほど拡散が促進されるという事実から生じ
る。また、注入による過剰自己格子間Siの発生によ
り、ここで動的クラスタ形成とよばれ、それにより注入
されたドーパント原子が半導体層中でクラスタを形成す
るか凝集する現像が生じる。これらのクラスタ又は凝集
体は不動で、電気的に不活性である。過去においては、
TED及び動的クラスタ形成は関連したデバイス製造上
の問題ではなかったが、今やTED及び動的クラスタ形
成は、将来のシリコンデバイス技術で得られる最小デバ
イス寸法に、厳しい制約を課す可能性がある。
【0007】TED及び結晶欠陥のような注入の効果を
制御することが困難なため、注入を用いずシリコン基板
中にドーパントを導入する技術が探されてきた。たとえ
ば、ウチノ,ティー(Uchino,T)ら“その場ド
ープポリシリコンエミッタ及び急速気相ドーピングベー
スを有する超高速シリコンバイポーラトランジスタ”、
アイ・イー.イー・イー・トランスアクションズ・オン
・エレクトロン・デバイジズ(IEEE Transa
ctions on Electron Device
)、第42:3巻(1995)は、所望のホウ素ドー
パント分布をバイポーラトランジスタのベース領域中に
導入するために急速気相ドーピング(RVD)が用いら
れ、バイポーラデバイスのエミッタ領域中に所望のリン
ドーパント分布を導入するために、同時ドーピングが用
いられる技術について述べている。ドーパントが基板中
に外方拡散するそのような技術は典型的な場合、高温
(たとえば850℃又はそれ以上の温度)アニール又は
長いアニールサイクル時間(たとえば1時間以上)を必
要とする。高温長時間アニールの1つの欠点は、デバイ
スの一領域を、アニールの効果から分離する方法がない
ことである。従って、可能性のあるアニール条件は、最
低の熱的余裕をもつ基板の領域により制限される。従っ
て、この効果が局在されるアニールプロセスは、基板の
別の領域に悪影響を及ぼさず所望のドーパント分布を得
るため、時間及び温度が単独に操作できないような例で
望ましい。
【0008】
【本発明の要約】本発明は半導体デバイス作製プロセ
ス、より具体的には浅い接合を有するデバイスの作製プ
ロセスに係る。本発明の目的は、約0.2μm又はそれ
以下の深さを有する接合である。本発明のプロセスにお
いて、格子間シリコンは基板の一領域中に導入される。
格子間シリコンの濃度が約6×1016/cm3 ないし約3
×1021/cm3 であると有利である。その領域には、シ
リコン基板中に格子間シリコンが導入される前又は後
に、所望の不純物がドープされる。
【0009】本発明のプロセスにおいて、所望の濃度の
格子間シリコン原子を半導体基板中に導入するために、
非ドーパントのイオン注入が用いられる。基板がシリコ
ン又はシリコン−ゲルマニウム基板である本発明の実施
例において、基板中に格子間シリコン原子を発生させる
ために、シリコン原子を用いるなら有利である。しか
し、アルゴン、ネオン及びゲルマニウムといった他の非
ドーパント(すなわち、アニールに続いてシリコン格子
中に導入されたとき、シリコン禁制帯に影響を及ぼさな
い原子)は適当と考えられる。格子間原子は領域にリン
又はホウ素がドープされる前又は後に、所望の量、領域
中に導入される。格子間原子及びドーパントの両方が基
板中に導入された後、所望のドーパント分布を得るため
に、基板は熱アニールする。
【0010】基板中のドーパント分布を調整するため
に、熱アニールとともに、非ドーパントの注入が用いら
れる。注入は一時的促進拡散(TED)によりドーパン
ト分布に影響を与えるから、TEDにより影響を受ける
ドーパントのみが、本発明のプロセスで用いられる。T
EDにより影響を受けるドーパントの例は、ホウ素及び
リンである。
【0011】基板中にドーパントを導入する技術は、当
業者には良く知られている。基板中に約5×1016欠陥
/cm3 を越える点欠陥を導入しない条件なら、従来の条
件は適当と考えられる。点欠陥の数に制限があるため、
基板上に多結晶材料のドープ層を形成し、多結晶材料か
ら基板中にドーパントを追いやる条件に基板を置くこと
により、基板をドープするのが有利である。ドーパント
を基板中に追いやるのに用いられる条件は、適当な量の
ドーパントが基板中に導入され、基板中にドーパントの
濃度勾配ができるなら、厳密さを必要としない。しか
し、もし基板中のドーパント濃度が一様なら、分布はT
EDにより適切な影響を受けないであろう。たとえばシ
リコン基板をドーパントガスを含む気体中に置いたり注
入といった基板中にドーパントを追いやる他の従来の手
段も、適当と考えられる。しかし、もし基板中にドーパ
ントを導入するために注入を用いるなら、注入により生
じる損傷を指定された濃度以下に減するため、基板は適
切にアニールしなければならない。
【0012】次に、基板中の点欠陥の濃度が約6×10
16原子/cm3 ないし約3×1021原子/cm3 になるよう
に選択されたドーズ及びエネルギーを用いて、非ドーパ
ントを基板中に注入する。約0.1keVないし約10
MeVの注入エネルギーの場合、これは約6×1011
子/cm2 ないし約3×1016原子/cm2 の範囲の注入ド
ーズに対応する。
【0013】次に、ドーパントをその領域中に追いやる
ため、約700℃ないし約950℃の範囲の温度で基板
をアニールする。基板中に格子間原子が存在することに
より、基板中のドーパントの拡散が促進される。注入条
件及びアニール温度は、基板中にドーパントの所望の分
布(ドーパント分布)が得られるよう選択される。も
し、基板を約750℃ないし約850℃の範囲の温度で
アニールするなら有利である。
【0014】
【詳細な記述】本発明のプロセスは、結晶基板中のドー
パントの分布(すなわちドーパント分布)を制御するた
めに用いられる。先に述べたように、サブミクロンデバ
イスの寸法が減少するにつれ、基板中のドーパント分布
はより厳密に制御しなければならない。注入又は熱的ド
ライブイン(たとえば熱アニール)という基板中へドー
パントを追いやる方法のため、ドーパント分布を適切に
制御することが難しく、特に浅い接合(すなわち0.2
μm又はそれ以下の深さを有する接合)では難しい。本
明細書の目的では、接合の深さはドーパントの濃度が1
×1017原子/cm3 又はそれ以上である基板中の深さと
定義される。
【0015】本発明のプロセスにおいて、結晶基板中の
ドーパントのその後の分布を制御するために、非ドーパ
ント原子(たとえば、シリコン基板の禁制帯に影響を与
えないシリコン又は他の原子)が用いられる。注入のエ
ネルギー及びドーズは、基板中に所望のドーパント分布
が得られるよう選択される。
【0016】もし、ドーパントが最初に基板中に導入さ
れれば有利である(しかし、必要ではない)。結晶基板
中にドーパントを導入する従来の条件は、それにより基
板中に約5×1016欠陥/cm3 を越える点欠陥濃度が導
入されないなら、適当と考えられる。もし、ドーパント
が基板上のドーパント源から、基板中に導入されるなら
有利である。この方法は堆積中、高濃度ドープ(たとえ
ば1×1020原子/cm3 を越えるドーパント濃度)され
た多結晶シリコン又は結晶シリコン又はシリコン・ゲル
マニウムの堆積層及びドーパント気体からのドーパント
の導入を含む。TEDがドーパントの所望の分布に影響
を与えるよう、基板中で生じるドーパント濃度は、ある
程度不均一でなければならない。
【0017】次に、基板中に約6×1016原子/cm3
いし約3×1021原子/cm3 の点欠陥濃度を生じるよう
選択されたドーズ及びエネルギーを用いて、基板中に非
ドーパントが注入される。0.1keVないし約1Me
Vの注入エネルギーの場合、これは約6×1011原子/
cm2 ないし約3×1016原子/cm2 の範囲の注入ドーズ
に対応する。
【0018】次に、基板はアニールされる。アニールの
温度は基板中にドーパントの所望の分布が生じるよう選
択される。この点に関し、ある種のドーパント(たとえ
ばホウ素、リン)のみの分布が、非ドーパント注入とそ
れに続くアニールの組合わさった効果により生じるTE
Dにより、影響を受ける。アニールの温度は約700℃
ないし約950℃の範囲でよい。約750℃ないし約8
50℃の範囲の温度でアニールするのが最も有利であ
る。この範囲のアニール温度により、望ましいドーパン
ト分布が得られ、熱的な余裕(すなわち有害な結果が生
じずプロセス中基板を露出できる許容温度)が制限され
たプロセスと両立する。
【0019】たとえば、本発明の一実施例において、こ
のプロセスはBiCMOSデバイスを作製するために用
いられる。そのようなデバイスを作製する時、バイポー
ラデバイスのベース及びエミッタは、相補金属−酸化物
−半導体(CMOS)デバイスが先に作製されている基
板上に作製される。従って、バイポーラデバイスのベー
ス及びエミッタ領域を作製する時、基板はCMOSデバ
イスに損傷を与えないように、約750℃を越える温度
に置くことはできない。本発明のプロセスの一実施例に
おいて、ホウ素ドープポリシリコン層をバイポーラデバ
イス領域上に堆積させる。次に、界面の酸化物を破壊す
るために、急速熱アニール工程を基板に施す。別の実施
例において、ドーパント気体から直接ドーピングするこ
とにより、高濃度ドープの非常に浅い(すなわち0.1
μm以下)ホウ素層が得られる。次に、ホウ素注入領域
に、約1×1013原子/cm2 ないし約1×1014原子/
cm2 の範囲のドーズ及び約130keVないし約180
keVの範囲のエネルギーでシリコンを注入する。次
に、基板を約700℃ないし約850℃の温度で、所望
の深さをもつベースが生じるのに十分な時間、アニール
する。ベースの深さは、少なくとも約1×1017/cm3
のホウ素ドーパント濃度を有する基板中の深さである。
【0020】ある種のドーパントのみがTEDの影響を
受けるから、本発明のプロセスは、基板中の2つのドー
パントの分布を、独立に制御するために用いられる。た
とえば、ホウ素のドーパント分布はTEDの影響を受
け、ひ素のドーパント分布は影響を受けない。バイポー
ラデバイスのエミッタ及びベース領域の形成において、
非常に浅いエミッタを形成するために、基板中にひ素が
導入され、より深いベースを形成するために、基板中に
ホウ素が導入される。両方のドーパントは、ドープされ
たポリシリコンの上部層とドープされたポリシリコンか
らドーパントを基板中に追いやるための条件又はドーパ
ント気体から基板中に直接ドープするといった従来の手
法により、基板中に導入される。
【0021】基板中のある種のドーパントの拡散に対す
る非ドーパントの選択的な効果が、図1A及び図1Bに
より示されている。図1A及び図1Bは基板中のひ素ド
ープエミッタ領域(10)、ホウ素ドープベース領域
(20)及びリンドープコレクタ領域(30)の深さを
示す。非ドーパントは図1B中のほう素ドープベース領
域(20)中にのみ導入される。図1A及び図1B中の
ひ素ドープエミッタ領域の深さは同じである。このこと
は非ドーパントはこれらの領域の深さには影響を及ぼさ
ないことを示している。リンドープ領域(30)の深さ
は、リンドーパントが一様に分布しているため、すなわ
ち基板中のリンの濃度勾配がゼロであるため影響を受け
ない。しかし、ホウ素ドープベースの深さは、図1A中
より図1B中で著しく大きい。このことは非ドーパント
が基板中のホウ素ドーパントの分布に著しく影響するこ
とを示している。
【0022】ホウ素及びひ素ドーパントの両方が基板中
に導入された後、非ドーパントがデバイスのエミッタ/
ベース領域中に導入される。次に、低温アニール(たと
えば750℃)が行われる。アニールの温度は、(アニ
ール中のひ素原子の分布が非ドーパント格子間原子の存
在により影響を受けないため)非常に浅いひ素接合とよ
り深いホウ素接合を生じるのに十分低い。
【0023】本発明のプロセスは基板中への非ドーパン
トの注入及びドーパントの導入が独立に制御され、所望
のドーパント分布が得られるという点で、従来技術より
有利である。従って、熱的余裕が制限されているプロセ
スにおいて、アニールとの組合せによって非ドーパント
の注入により生じるTEDを用いて、所望のドーパント
分布が得られ、そのため基板の他の領域に悪影響を与え
るアニール条件の使用が避けられる。
【0024】実施例1 名目上5×1020/cm3 の濃度にホウ素をドープした1
00nmのポリシリコン層を、シリコンウエハ上に堆積
させた。ポリシリコンとバルクウエハ間の界面における
酸化物を砕くため、ウエハを1050℃でアニールし
た。試料は下の表1に要約した各種ドーズで、シリコン
イオンを注入した。注入のエネルギーは140keVで
あった。注入後、下の表1に要約したように、750
℃、825℃又は900℃で30分間、試料をアニール
した。
【表1】 シリコンの注入の効果とその後のポリシリコン層からシ
リコン基板中へのホウ素拡散に対するアニールの効果を
決めるため、試料に対し、二次イオン質量分析(SIM
S)を行った。
【0025】図2は試料A−Fについて、基板中の深さ
の関数として、ホウ素の濃度を示す。図2を参照する
と、試料A(シリコン注入及びアニールなし)及び試料
B(シリコン注入はなくアニールはあり)についての接
合深さ(ホウ素濃度が少なくとも1×1017原子/cm3
である深さと定義される)は、ほとんど同じである。試
料C−Eの接合深さは、接合がシリコン注入ドーズの増
加とともに増加することを示している。しかし、試料D
はシリコンドーズが6×1013原子/cm2 から2×10
14原子/cm2 まで増した時、接合深さはそれ以上増加し
ないことを示している。図2はシリコン注入を用いるこ
とにより、約80nmだけ接合深さが増したことを示
す。
【0026】図3は試料A、G、I及びKについての基
板中の深さの関数として、ホウ素の濃度を示す。基板G
(シリコンの注入はなく、825℃でアニール)の接合
深さは、基板Bの接合深さより著しく大きかった。その
理由は、より高温でのアニール(基板Bの750℃に対
し825℃)にある。従って、シリコン注入に起因する
825℃においてアニールされた基板の接合深さの増加
は、幾分小さい。図3は825℃のアニールでもシリコ
ン注入は更に接合深さを増すことを示している。しか
し、増加の量は、750℃アニールでシリコン注入が接
合深さを増加させた量に比べ小さかった。
【0027】図4は試料A、H、J及びLについての基
板中の深さの関数として、ホウ素の濃度を示す。図4は
高温アニールは接合深さに支配的な効果をもち、シリコ
ン注入は接合深さには非常に小さな効果をもつだけであ
ることを示している。事実、6×1013原子/cm2
ドーズのシリコン注入と900℃におけるその後のアニ
ール(試料L)によって、シリコン注入がなく、900
℃のアニール(試料H)で得られた接合深さより、約1
0nm接合深さは増加した。それに対し、試料Eの接合
深さ(同じドーズ及びエネルギーのシリコン注入である
が750℃のアニールの結果)は、試料Bの接合深さ
(シリコン注入はなく、750℃でアニールの結果)よ
り、80nm大きかった。明らかに、より低いアニール
温度において、ドーピング分布に対するシリコン注入の
効果は、約900℃またはそれ以上の温度における効果
より大きい。
【0028】実施例2 バイポーラデバイスの特性は、基板中のドーパント分布
に対するTEDの効果を制御することにより、制御され
る。この効果は、試料A、C、D及びFのホウ素ドーパ
ント分布をもつベースを有するバイポーラデバイスの電
気的特性をモデル化することにより示された。用いたモ
デルは、ピント・エム・アール(Pint,M.R.)ら、“集
積プロセス及びデバイスシミュレーションを用いたサブ
ミクロンBiCMOS技術におけるバイポーラトランジ
スタの三次元評価”、アイ・イー・ディー・エム(IE
DM)、第92巻、923−926頁(1992)に述
べられている。これはここに参照文献として述べられて
いる。
【0029】ソフトウエアを用い、ベース−エミッタ電
圧の関数として、コレクタ電流をモデル化した。図5に
示されるように、すべての分布がバイポーラデバイスと
して機能するためのコレクタ電流とエミッタ電圧間の必
要な関係を持つデバイスを生じるのではない。具体的に
は、非ドーパント注入及びアニールなしで得られたホウ
素ドーパント分布(表1中のA)を有するデバイスのコ
レクタ電流及びベース−エミッタ電圧間のモデル化され
た関係が、図5中で線(110)により表わされてい
る。エミッタ電圧が変わっても、コレクタ電流が一定に
保たれているため、このデバイスは許容されなかった。
従って、コレクタ電流は制御できなかった。
【0030】表1の試料Cの条件で得られたホウ素ドー
パント分布を有するデバイスのコレクタ電流とベース−
エミッタ電圧間の関係もモデル化され、図5中の線(1
20)で示されている。このモデル上のデバイスも、コ
レクタ電流が制御できないため許容できなかった。表1
の試料Dの条件で得られたホウ素ドーパント分布を有す
るデバイスのコレクタ電流及びベース−エミッタ電圧間
の関係もモデル化され、図5中に線(130)で示され
ている。コレクタ電流はエミッタ電圧の変化にある程度
反応したが、広範囲のエミッタ電圧に対し、コレクタ電
流は本質的な変化を示さなかったため、この応答は許容
できなかった。従って、このモデル化されたデバイスは
許容できるバイポーラ動作を示さなかった。
【0031】表1の試料Fの条件で得られたホウ素ドー
パント分布を有するデバイスのコレクタ電流及びベース
−エミッタ電圧間の関係もモデル化され、図5中の線
(140)として示されている。このモデル化されたデ
バイスは、コレクタ電流がエミッタ電圧により、適切に
制御されたため、許容できるバイポーラ動作を示した。
【0032】このように、本発明のプロセスは、所望の
動作特性を有するデバイスを実現するため、基板中のド
ーパント分布を調整するために用いることができる。本
プロセスにおいて、TEDは効果を局在するために用い
られる。すなわち、ドーパント分布は非ドーパントが注
入された基板の領域中でのみ影響を受ける。これは基板
全体に影響する高温アニールとは異なる。このプロセス
により、ある種のドーパント分布が望ましいが熱的余裕
(すなわち、害なく基板を露出できる最大許容温度)が
所望のドーパント分布にのみ影響を与える温度の使用を
制限するような例で、明らかな利点が生じる。低温アニ
ールとともに、非ドーパントの注入を用いることによ
り、所望のドーパント分布に影響を与え、改善されたプ
ロセスの柔軟性が得られる。
【図面の簡単な説明】
【図1A】2つの異なるデバイスのひ素ドープエミッ
タ、ホウ素ドープベース及びリンドープコレクタの深さ
を示し、アニール前には基板中に非ドーパントが導入さ
れていない場合の図である。
【図1B】2つの異なるデバイスのひ素ドープエミッ
タ、ホウ素ドープベース及びリンドープコレクタの深さ
を示し、アニール前に基板中に非ドーパントが導入され
ている場合の図である。
【図2】1)非ドーパントの注入及びアニールがなく、
2)非ドーパントの注入がなく750℃でのアニールが
あり、3)各種ドーズでシリコンが注入され、750℃
でアニールされた基板中のホウ素ドーパントの分布を比
較した図である。
【図3】1)非ドーパントの注入及びアニールがなく、
2)非ドーパントの注入がなく、850℃でのアニール
があり、3)各種ドーズでシリコンが注入され、850
℃でアニールされた基板中のホウ素ドーパントの分布を
比較した図である。
【図4】1)非ドーパントの注入及びアニールがなく、
2)非ドーパントの注入がなく、900℃でのアニール
があり、3)各種ドーズでシリコンが注入され、900
℃でアニールされた基板中のホウ素ドーパントの分布を
比較した図である。
【図5】ドーパントの分布が1)非ドーパント及びアニ
ールがなく、2)3つの異なるドーズでシリコンを注入
し、750℃でアニールすることにより得られたデバイ
スのモデル化された動作を比較する図である。
【符号の説明】
10 ひ素ドープエミッタ領域 20 ホウ素ドープベース領域 30 リンドープコレクタ領域、リンドープ領域 110、120、130、140 線
フロントページの続き (72)発明者 シー−ホン−ハ ユオン アメリカ合衆国 07922 ニュージャーシ ィ,バークレイ ハイツ,ルトガーズ ア ヴェニュー 178 (72)発明者 ヤ−ホン クサイ アメリカ合衆国 08822 ニュージャーシ ィ,フレミントン,イーウィング ドライ ヴ 5

Claims (6)

    【特許請求の範囲】
  1. 【請求項1】 基板中にドーパントの所望の分布を選択
    する工程;ドーパント及び非ドーパントを基板の同じ領
    域中に導入し、非ドーパントの濃度とその配置は、一時
    的促進拡散により、ドーパントが基板中に拡散するよう
    に選択される工程;基板中にドーパントの所望の分布が
    生じるよう選択された高い温度及び時間で、基板をアニ
    ールする工程を含むデバイスの製造方法。
  2. 【請求項2】 非ドーパントは基板の禁制帯に影響を与
    えない請求項1記載の製造方法。
  3. 【請求項3】 基板はシリコン基板で、非ドーパントは
    シリコン、アルゴン、ネオン及びゲルマニウムから成る
    グループから選択される請求項2記載の製造方法。
  4. 【請求項4】 基板中の非ドーパントの濃度は、約6×
    1016原子/cm3 ないし約3×1021原子/cm3 である
    請求項3記載の製造方法。
  5. 【請求項5】 基板は約700℃ないし約950℃の範
    囲の温度でアニールされる請求項4記載の製造方法。
  6. 【請求項6】 基板は約750℃ないし約850℃の範
    囲の温度でアニールされる請求項5記載の製造方法。
JP11034145A 1998-02-12 1999-02-12 浅い接合を有するデバイスの製造方法 Pending JPH11274090A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/023220 1998-02-12
US09/023,220 US6136673A (en) 1998-02-12 1998-02-12 Process utilizing selective TED effect when forming devices with shallow junctions

Publications (1)

Publication Number Publication Date
JPH11274090A true JPH11274090A (ja) 1999-10-08

Family

ID=21813782

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11034145A Pending JPH11274090A (ja) 1998-02-12 1999-02-12 浅い接合を有するデバイスの製造方法

Country Status (4)

Country Link
US (1) US6136673A (ja)
EP (1) EP0938130A3 (ja)
JP (1) JPH11274090A (ja)
KR (1) KR19990072541A (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6552414B1 (en) 1996-12-24 2003-04-22 Imec Vzw Semiconductor device with selectively diffused regions
JP2000183068A (ja) * 1998-12-10 2000-06-30 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2000208874A (ja) * 1999-01-12 2000-07-28 Sony Corp 窒化物半導体と、窒化物半導体発光装置と、窒化物半導体の製造方法と、半導体発光装置の製造方法
US6503801B1 (en) * 1999-08-18 2003-01-07 Advanced Micro Devices, Inc. Non-uniform channel profile via enhanced diffusion
CN1322562C (zh) * 2003-12-05 2007-06-20 中芯国际集成电路制造(上海)有限公司 使用离子注入过的晶片监测低温急速热退火工艺

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3718502A (en) * 1969-10-15 1973-02-27 J Gibbons Enhancement of diffusion of atoms into a heated substrate by bombardment
US4133701A (en) * 1977-06-29 1979-01-09 General Motors Corporation Selective enhancement of phosphorus diffusion by implanting halogen ions
US4456489A (en) * 1982-10-15 1984-06-26 Motorola, Inc. Method of forming a shallow and high conductivity boron doped layer in silicon
JP2773957B2 (ja) * 1989-09-08 1998-07-09 富士通株式会社 半導体装置の製造方法
US5286660A (en) * 1992-12-24 1994-02-15 Motorola, Inc. Method for doping a semiconductor wafer having a diffusivity enhancement region
EP0717435A1 (en) * 1994-12-01 1996-06-19 AT&T Corp. Process for controlling dopant diffusion in a semiconductor layer and semiconductor layer formed thereby
US5585286A (en) * 1995-08-31 1996-12-17 Lsi Logic Corporation Implantation of a semiconductor substrate with controlled amount of noble gas ions to reduce channeling and/or diffusion of a boron dopant subsequently implanted into the substrate to form P- LDD region of a PMOS device
EP0806794A3 (en) * 1996-04-29 1998-09-02 Texas Instruments Incorporated Method of forming shallow doped regions in a semiconductor substrate, using preamorphization and ion implantation
EP0897594B1 (en) * 1996-05-08 2004-07-28 Advanced Micro Devices, Inc. Control of junction depth and channel length using generated interstitial gradients to oppose dopant diffusion
US5976956A (en) * 1997-04-11 1999-11-02 Advanced Micro Devices, Inc. Method of controlling dopant concentrations using transient-enhanced diffusion prior to gate formation in a device
US5899732A (en) * 1997-04-11 1999-05-04 Advanced Micro Devices, Inc. Method of implanting silicon through a polysilicon gate for punchthrough control of a semiconductor device
US6037640A (en) * 1997-11-12 2000-03-14 International Business Machines Corporation Ultra-shallow semiconductor junction formation
US6063682A (en) * 1998-03-27 2000-05-16 Advanced Micro Devices, Inc. Ultra-shallow p-type junction having reduced sheet resistance and method for producing shallow junctions

Also Published As

Publication number Publication date
EP0938130A3 (en) 1999-10-20
KR19990072541A (ko) 1999-09-27
US6136673A (en) 2000-10-24
EP0938130A2 (en) 1999-08-25

Similar Documents

Publication Publication Date Title
US4505759A (en) Method for making a conductive silicon substrate by heat treatment of oxygenated and lightly doped silicon single crystals
US8067805B2 (en) Ultra shallow junction formation by epitaxial interface limited diffusion
US6576535B2 (en) Carbon doped epitaxial layer for high speed CB-CMOS
US20030013260A1 (en) Increasing the electrical activation of ion-implanted dopants
JPH11168069A (ja) 半導体装置の製造方法
US20020058385A1 (en) Semiconductor device and method for manufacturing the same
KR100228755B1 (ko) 바이폴라 트랜지스터용 자기정합형 콜렉터 주입
JPS6066814A (ja) 半導体デバイスの製造方法
US5565690A (en) Method for doping strained heterojunction semiconductor devices and structure
JPH11145147A (ja) 半導体装置および半導体装置の製造方法
US10566198B2 (en) Doping method
JPH11102919A (ja) Dmosトランジスタの製造方法
US4534806A (en) Method for manufacturing vertical PNP transistor with shallow emitter
JPH11274090A (ja) 浅い接合を有するデバイスの製造方法
JPH0878674A (ja) 半導体装置およびその製造方法ならびにバイポーラトランジスタ
JPH0521448A (ja) 半導体装置の製造方法
EP0594340B1 (en) Method for forming a bipolar transistor
US20030062598A1 (en) Method for manufacturing and structure of semiconductor device with sinker contact region
US7164186B2 (en) Structure of semiconductor device with sinker contact region
JPH08274111A (ja) バイポーラシリコントランジスタとその製造方法
JPH1187260A (ja) 半導体装置の製造方法
JPH04233737A (ja) トランジスタの製造方法
KR930010118B1 (ko) 반도체 장치의 제조방법
CN111341651A (zh) 晶体管外延层制作方法
JP2653513B2 (ja) 半導体装置の製造方法