JPH11135494A - プラズマ処理方法 - Google Patents

プラズマ処理方法

Info

Publication number
JPH11135494A
JPH11135494A JP9316589A JP31658997A JPH11135494A JP H11135494 A JPH11135494 A JP H11135494A JP 9316589 A JP9316589 A JP 9316589A JP 31658997 A JP31658997 A JP 31658997A JP H11135494 A JPH11135494 A JP H11135494A
Authority
JP
Japan
Prior art keywords
film
gas
plasma
wafer
vacuum chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9316589A
Other languages
English (en)
Other versions
JP3469761B2 (ja
Inventor
Noriaki Fukiage
紀明 吹上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority to JP31658997A priority Critical patent/JP3469761B2/ja
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to EP98950473A priority patent/EP1028457B1/en
Priority to PCT/JP1998/004932 priority patent/WO1999023695A1/ja
Priority to TW087118062A priority patent/TW414945B/zh
Priority to DE69838226T priority patent/DE69838226T2/de
Priority to KR10-2000-7004636A priority patent/KR100509387B1/ko
Publication of JPH11135494A publication Critical patent/JPH11135494A/ja
Priority to US09/559,536 priority patent/US6699531B1/en
Application granted granted Critical
Publication of JP3469761B2 publication Critical patent/JP3469761B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 CF膜を半導体デバイスの層間絶縁膜として
用いようとすると、タングステンの配線を形成するとき
に例えば400℃〜450℃付近にまでCF膜が加熱さ
れ、このときにF系のガスがCF膜から抜け、配線の腐
食や膜減りに伴う種々の不都合が生じるので、これを抑
えるために熱安定性を高めること。 【解決手段】 CとFの化合物ガス例えばC4 8 ガス
と、炭化水素ガス例えばC2 4 ガスとを成膜ガスとし
て用い、これらガスをプラズマ化して、その活性種によ
り半導体ウエハ10上にCF膜を成膜する。次いで水素
プラズマ生成用ガス例えばH2 ガスを導入してプラズマ
化し、Hのプラズマをウエハ10に形成されたCF膜上
に照射する。Hのプラズマの照射により、CF膜中に存
在する未反応のFや弱い結合が除去されるので、結合が
強固となり、高温下でも結合が切れにくくなって、熱安
定性が向上する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、例えば半導体デバ
イスの層間絶縁膜に用いることのできるフッ素添加カー
ボン膜の熱安定性を高める方法に関する。
【0002】
【従来の技術】半導体デバイスの高集積化を図るため
に、パターンの微細化、回路の多層化といった工夫が進
められており、そのうちの一つとして配線を多層化する
技術がある。多層配線構造をとるためには、n層目の配
線層と(n+1)番目の配線層の間を導電層で接続する
と共に、導電層以外の領域は層間絶縁膜と呼ばれる薄膜
が形成される。
【0003】この層間絶縁膜の代表的なものとしてSi
2 膜があるが、近年デバイスの動作についてより一層
の高速化を図るために層間絶縁膜の比誘電率を低くする
ことが要求されており、層間絶縁膜の材質についての検
討がなされている。即ちSiO2 膜は比誘電率がおよそ
4であり、これよりも小さい材質の発掘に力が注がれて
いる。そのうちの一つとして比誘電率が3.5であるS
iOF膜の実現化が進められているが、本発明者は比誘
電率が更に小さいフッ素添加カーボン膜(以下「CF
膜」という)に注目している。このCF膜の成膜に際し
ては、例えば熱CVD(Chemical Vapor
Deposition)あるいはプラズマCVDが用
いられている。
【0004】そこで本発明者は、電子サイクロトロン共
鳴によりプラズマを発生させるプラズマ装置を用い、例
えば炭素(C)及びフッ素(F)の化合物ガスと炭化水
素ガスとを含むガスを成膜ガスとし、種々のプロセス条
件を詰めて、密着性及び硬度の大きいCF膜の製造の実
現化を図った。
【0005】
【発明が解決しようとする課題】しかしながらCF膜に
はまだ以下のような課題がある。図8はウエハに形成さ
れた回路部分の一部であり、11、12はCF膜、1
3、14はW(タングステン)よりなる導電層、15は
Al(アルミニウム)よりなる導電層、16は、P、B
をドープしたSiO2 膜、17はn形半導体領域であ
る。ところでW層13を形成するときのプロセス温度は
400〜450℃であり、このときCF膜11、12は
そのプロセス温度まで加熱される。しかしながらCF膜
は、このような高温に加熱されると一部のC−F結合が
切れて、主としてF系ガスが脱離してしまう。このF系
ガスとしてはF、CF、CF2 などが挙げられる。
【0006】このようにF系ガスが脱離すると、次のよ
うな問題が起こる。 a)アルミニウムやタングステンなどの金属配線が腐食
する。 b)絶縁膜はアルミニウム配線を押え込んでアルミニウ
ムのうねりを防止する機能をも有しているが、脱ガスに
より絶縁膜による押え込みが弱まり、この結果アルミニ
ウム配線がうねり、エレクトロマイグレーションと呼ば
れる電気的欠陥が発生しやすくなってしまう。 c)絶縁膜にクラックが入り、配線間の絶縁性が悪くな
るし、またその程度が大きいと次段の配線層を形成する
ことができなくなる。 d)Fの抜けが多いと比誘電率が上がる。
【0007】本発明はこのような事情の下になされたも
のであり、その目的は強固な結合を有し、熱安定性の高
いCF膜よりなる絶縁膜、例えば半導体デバイスの層間
絶縁膜を形成することのできる方法を提供することにあ
る。
【0008】
【課題を解決するための手段】本発明のプラズマ処理方
法は、水素プラズマ生成用ガスをプラズマ化して、水素
のプラズマを被処理基板上に形成されたフッ素添加カ−
ボン膜に照射することを特徴とする。また水素のプラズ
マを照射する工程は、炭素とフッ素との化合物ガスを含
む成膜ガスを分解し、化学的気相反応により被処理基板
上にフッ素添加カ−ボン膜を成膜する工程に続いて行う
ようにしてもよい。
【0009】
【発明の実施の形態】先ず本発明の実施の形態に用いら
れるプラズマ処理装置の一例を図1に示す。この装置は
例えばアルミニウム等により形成された真空容器2を有
しており、この真空容器2は上方に位置してプラズマを
発生させる筒状の第1の真空室21と、この下方に連通
させて連結され、第1の真空室21よりは口径の大きい
筒状の第2の真空室22とからなる。なおこの真空容器
2は接地されてゼロ電位になっている。
【0010】この真空容器2の上端は開口されて、この
部分にマイクロ波を透過する部材例えば石英等の材料で
形成された透過窓23が気密に設けられており、真空容
器2内の真空状態を維持するようになっている。この透
過窓23の外側には、例えば2.45GHzのマイクロ
波を発生する高周波電源部24に接続された導波管25
が設けられており、高周波電源部24にて発生したマイ
クロ波を例えばTEモードにより導波管25で案内し
て、またはTEモ−ドにより案内されたマイクロ波を導
波管25でTMモ−ドに変換して、透過窓23から第1
の真空室21内へ導入し得るようになっている。
【0011】第1の真空室21を区画する側壁には例え
ばその周方向に沿って均等に配置したガスノズル31が
設けられると共に、このガスノズル31には図示しない
プラズマ生成用ガス源例えばArガス源及び水素プラズ
マ生成用ガス源例えばH2 (水素)ガス源が接続されて
おり、第1の真空室21内の上部にArガスあるいはH
2 ガスをムラなく均等に供給し得るようになっている。
【0012】前記第2の真空室22内には、前記第1の
真空室21と対向するように半導体ウエハ(以下「ウエ
ハ」という)10の載置台4が設けられている。この載
置台4は表面部に静電チャック41を備えており、この
静電チャック41の電極には、ウエハを吸着する直流電
源(図示せず)の他、ウエハにイオンを引き込むための
バイアス電圧を印加するように高周波電源部42が接続
されている。
【0013】一方前記第2の真空室22の上部即ち第1
の真空室21と連通している部分にはリング状の成膜ガ
ス供給部5が設けられており、この成膜ガス供給部5
は、例えばガス供給管51、52から例えば2種類の成
膜ガス、例えばCとFとの化合物ガスであるC4 8
スと炭化水素ガスであるC2 4 ガスとが供給され、そ
の混合ガスを内周面のガス穴53から真空容器2内に供
給するように構成されている。
【0014】前記第1の真空室21を区画する側壁の外
周には、これに接近させて磁場形成手段として例えばリ
ング状の主電磁コイル26が配置されると共に、第2の
真空室22の下方側にはリング状の補助電磁コイル27
が配置されている。また第2の真空室22の底部には例
えば真空室22の中心軸に対称な2個所の位置に各々排
気管28が接続されている。
【0015】次に上述の装置を用いて被処理基板である
ウエハ10上にCF膜よりなる層間絶縁膜を形成する方
法について図2により説明する。先ず図2(a)に示す
ように、真空容器2の側壁に設けた図示しないゲートバ
ルブを開いて図示しない搬送アームにより、例えば表面
にアルミニウム配線が形成されたウエハ10を図示しな
いロードロック室から搬入して載置台4上に載置し、静
電チャック41によりウエハ10を静電吸着する。
【0016】続いてゲートバルブを閉じて内部を密閉し
た後、排気管28より内部雰囲気を排気して所定の真空
度まで真空引きし、図2(b)に示すように、ガスノズ
ル31から第1の真空室21内へArガスを所定の流量
で導入すると共に、成膜ガス供給部5から第2の真空室
22内へ成膜ガスを所定の流量で導入する。そして真空
容器2内を所定のプロセス圧に維持し、かつ高周波電源
部42により載置台4に13.56MHz、1500W
のバイアス電圧を印加すると共に、載置台4の表面温度
をおよそ300℃に設定する。
【0017】一方高周波電源部24からの2.45GH
z、2700Wの高周波(マイクロ波)は、導波管25
を通って真空容器2の天井部に至り、ここの透過窓23
を透過して第1の真空室21内へ導入される。また真空
容器2内には主電磁コイル26及び補助電磁コイル27
により第1の真空室21の上部から第2の真空室22の
下部に向かう磁場が形成され、例えば第1の真空室21
の下部付近にて磁場の強さが875ガウスとなる。こう
して磁場とマイクロ波との相互作用により電子サイクロ
トロン共鳴が生じ、この共鳴によりArガスがプラズマ
化され、且つ高密度化される。発生したプラズマ流は、
第1の真空室21より第2の真空室22内に流れ込んで
行き、ここに供給されているC4 8 ガス、C2 4
スを活性化(プラズマ化)して活性種(プラズマ)を形
成し、ウエハ10上にCF膜を成膜する。
【0018】こうしてウエハ10上にCF膜を成膜した
後、図2(c)に示すように、当該ウエハ10にHのプ
ラズマを照射する。つまり載置台4にウエハ10を載置
したまま、ガスノズル31からH2 ガスを所定の流量で
導入して、マイクロ波電力(高周波電源部24)500
0W、バイアス電力(高周波電源部42)0Wの下、上
述の電子サイクロトロン共鳴によりH2 ガスをプラズマ
化し、これにより生じたHのプラズマをウエハ10上の
CF膜に照射する。なお実際のデバイスを製造する場合
には、その後このCF膜に対して所定のパターンでエッ
チングを行い、溝部に例えばW膜を埋め込んでW配線が
形成される。
【0019】このような方法で形成されたCF膜は強固
な結合を有し、後述の実験結果からも分かるように熱安
定性が大きい、つまり高温になってもF系ガスの抜けが
少ない。その理由については次のように考えられる。即
ち成膜ガスとしてCF系ガスと炭化水素ガスとを組み合
わせてCF膜を成膜すると、このCF膜中には、図3
(a)に示すようにC−C結合やC−F結合、C−H結
合の他に未反応のFやFイオン(F- )等が存在すると
考えられる。
【0020】ここで本実施の形態のようにCF膜にHの
プラズマを照射すると、Hは微小であるので、図4に示
すようにCF膜の内部に表面側から入り込んでいく。し
かしながらCF膜の深層部まで到達できるHはかなり少
ないので、CF膜中の水素濃度は図5に示すように、深
層部では小さく、表層部に近くなるほど大きくなってい
くと推察される。
【0021】ところでCF膜に入り込んだHは、図3
(b)に示すようにCF膜中の未反応のFと反応してH
Fを生成したり、結合力の弱いC−F結合を切断してH
FやCHFを生成して、CF膜の外へ飛散していくと考
えられる。この際CF膜では深層部まで入り込んだHに
より、内部の未反応のF等の一部が除去される。一方表
層部では水素濃度が大きく、未反応のF等と反応できる
Hの存在量が多いので、未反応のF等が十分に除去さ
れ、この結果強い結合が残り、膜が強固となる。
【0022】ここでF系ガスの抜けは、未反応のFや、
高温の熱処理時に熱によってC−C結合が切断されるこ
とにより生じたFやCF、CF2 がガスとなって飛散し
ていくことにより起こる。従ってHのプラズマにより未
反応のFが予め除去されれば、Fガスの抜けは抑えられ
る。
【0023】また既述のように表層部が強固になると、
この部分では高温下でもC−C結合が切断されにくくな
り、表層部からのF系ガスの抜けが防止される。さらに
仮に内部で未反応のFが残存したり、弱いC−C結合が
切断されたとしても、表層部の強固な膜がバリヤとなっ
てこれらF系ガスの通り抜けを阻止するので、高温の熱
処理時においても、結果としてこれらのガスの脱ガスが
防止され、これによりCF膜の熱安定性が向上すると考
えられる。
【0024】続いて本発明方法により形成されたCF膜
の熱安定性を調べるために行った実験例について説明す
る。図1に示すプラズマ処理装置を用い、Arガスを1
50sccm、C4 8 ガスを40sccm、C2 4
ガスを30sccm導入して、ウエハ10上に2μmの
CF膜を成膜した。このときマイクロ波電力及びバイア
ス電力は夫々2700W、1500Wとし、載置台4表
面の温度は300℃、プロセス圧力は0.2Paとし
た。次いでH2 ガスを300sccm導入してプラズマ
化し、ウエハ10上のCF膜にHのプラズマを15秒間
照射した。このときマイクロ波電力及びバイアス電力は
夫々5000W、0Wとし、載置台4表面の温度は30
0℃、プロセス圧力は1Paとした(実施例1)。
【0025】こうして形成されたCF膜について、図6
に示す測定装置を用いて薄膜の熱安定性の指標である高
温下での重量変化を調べた。図6において61は真空容
器、62はヒータ、63は軽量天びん機構のビームに吊
り下げられたるつぼ、64は重量測定部である。測定方
法については、ウエハ上のCF膜を削り落としてるつぼ
63内に入れ、He雰囲気下でるつぼ63内の温度を4
25℃まで昇温させ、そのまま2時間加熱して重量測定
部64で重量変化を調べる方法を採った。
【0026】ここで重量変化とは、熱を加える前のるつ
ぼ内の薄膜の重量をA、熱を加えた後のるつぼ内の薄膜
の重量をBとすると、{(A−B)/A}×100で表
される値であり、この値が小さい程F系ガスの抜けが少
なく、熱安定性が高いことを示している。
【0027】また実施例1と同様の条件でウエハ10上
にCF膜を成膜し、次いで実施例1と同様の条件でH2
ガスをプラズマ化し、次いでウエハ10上のCF膜にH
のプラズマを30秒間照射した場合(実施例2)と、実
施例1と同様の条件でウエハ10上にCF膜を成膜し、
Hのプラズマの照射を行わない場合(比較例)について
も同様に重量変化を測定した。なお熱安定性は、TDS
スペクトル(Thermal Disorption
Spectroscopy)による脱ガス量の測定や熱
処理における膜厚の変化等によっても調べることができ
る。
【0028】この結果を図7に示すが、これによりHの
プラズマの照射によってCF膜の重量変化が小さくな
り、F系ガスの抜けが少なくなって熱安定性が大きくな
ること、及びHのプラズマの照射時間が長い程、CF膜
の重量変化が小さくなり、熱安定性が大きくなることが
理解される。
【0029】以上において成膜ガスとしては、CとFと
の化合物ガスとしてはCF4 ガス、C2 6 ガス、C3
8 ガス、C5 8 ガス、C6 6 ガス等を用いること
ができ、CとFのみならずCとFとHとを含むガス例え
ばCHF3 ガス等を用いることもできる。また炭化水素
ガスとしてはCH4 ガスやC2 2 ガス、C2 6
ス、C3 8 ガス、C4 8 ガス等を用いることができ
るが、炭化水素ガスの代りに水素ガスを用いるようにし
てもよい。さらに水素プラズマ生成用ガスとしては、H
2 ガスやNH3 ガス等のHのプラズマを生成でき、しか
もウエハが成膜されないガスを用いることができる。
【0030】さらにまた本発明はCF膜はプラズマCV
Dで成膜することに限られず、熱CVDにより成膜する
ようにしてもよい。またECRによりプラズマを生成す
ることに限られず、例えばICP(Inductive
Coupled Plasuma)などと呼ばれてい
る、ドーム状の容器に巻かれたコイルから電界及び磁界
を処理ガスに与える方法などによりプラズマを生成する
場合にも適用できる。さらにヘリコン波プラズマなどと
呼ばれている例えば13.56MHzのヘリコン波と磁
気コイルにより印加された磁場との相互作用によりプラ
ズマを生成する場合や、マグネトロンプラズマなどと呼
ばれている2枚の平行なカソ−ドにほぼ平行をなすよう
に磁界を印加することによってプラズマを生成する場
合、平行平板などと呼ばれている互いに対向する電極間
に高周波電力を印加してプラズマを生成する場合にも適
用することができる。
【0031】さらにまた本発明では成膜工程を行わず、
水素のプラズマの照射工程のみを行うようにしてもよい
し、成膜工程と水素のプラズマの照射工程とを繰り返し
て行うようにしてもよい。
【0032】
【発明の効果】以上のように本発明によれば、熱的安定
性が大きく、F系のガスの脱離が小さいCF膜を得るこ
とができる。従ってこのCF膜を例えば半導体デバイス
の層間絶縁膜に使用すれば、金属配線を腐食するおそれ
がなく、アルミニウム配線のうねりやクラックの発生も
防止できる。半導体デバイスの微細化、高速化が要請さ
れている中で、CF膜が比誘電率の小さい有効な絶縁膜
として注目されていることから、本発明はCF膜の絶縁
膜としての実用化を図る上で有効な方法である。
【図面の簡単な説明】
【図1】本発明方法を実施するためのプラズマ処理装置
の一例を示す縦断側面図である。
【図2】本発明方法を説明するための工程図である。
【図3】本発明方法の作用を説明するための模式図であ
る。
【図4】CF膜にHが入り込む様子を示す模式図であ
る。
【図5】CF膜の深さと水素濃度との関係を示す特性図
である。
【図6】薄膜の重量変化を調べる測定装置を示す略解断
面図である。
【図7】Hのプラズマ照射とCF膜の重量変化との関係
を示す特性図である。
【図8】半導体デバイスの構造の一例を示す構造図であ
る。
【符号の説明】
10 半導体ウエハ 2 真空容器 21 第1の真空室 22 第2の真空室 24 高周波電源部 25 導波管 26、27 電磁コイル 28 排気管 31 ガスノズル 4 載置台 5 成膜ガス供給部

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】 水素プラズマ生成用ガスをプラズマ化し
    て、水素のプラズマを被処理基板上に形成されたフッ素
    添加カ−ボン膜に照射することを特徴とするプラズマ処
    理方法。
  2. 【請求項2】 炭素とフッ素との化合物ガスを含む成膜
    ガスを分解し、化学的気相体反応により被処理基板上に
    フッ素添加カ−ボン膜を成膜する工程と、 次いで水素プラズマ生成用ガスをプラズマ化して、水素
    のプラズマを被処理基板上に形成されたフッ素添加カ−
    ボン膜に照射する工程と、 を含むことを特徴とするプラズマ処理方法。
  3. 【請求項3】 成膜ガスは炭化水素ガスを含むことを特
    徴とする請求項2記載のプラズマ処理方法。
JP31658997A 1997-10-30 1997-10-30 半導体デバイスの製造方法 Expired - Fee Related JP3469761B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP31658997A JP3469761B2 (ja) 1997-10-30 1997-10-30 半導体デバイスの製造方法
PCT/JP1998/004932 WO1999023695A1 (fr) 1997-10-30 1998-10-30 Procede de traitement au plasma
TW087118062A TW414945B (en) 1997-10-30 1998-10-30 Plasma treatment method
DE69838226T DE69838226T2 (de) 1997-10-30 1998-10-30 Verfahren zur plasmabehandlung
EP98950473A EP1028457B1 (en) 1997-10-30 1998-10-30 Method of plasma processing
KR10-2000-7004636A KR100509387B1 (ko) 1997-10-30 1998-10-30 플라즈마 처리 방법
US09/559,536 US6699531B1 (en) 1997-10-30 2000-04-28 Plasma treatment method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31658997A JP3469761B2 (ja) 1997-10-30 1997-10-30 半導体デバイスの製造方法

Publications (2)

Publication Number Publication Date
JPH11135494A true JPH11135494A (ja) 1999-05-21
JP3469761B2 JP3469761B2 (ja) 2003-11-25

Family

ID=18078774

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31658997A Expired - Fee Related JP3469761B2 (ja) 1997-10-30 1997-10-30 半導体デバイスの製造方法

Country Status (7)

Country Link
US (1) US6699531B1 (ja)
EP (1) EP1028457B1 (ja)
JP (1) JP3469761B2 (ja)
KR (1) KR100509387B1 (ja)
DE (1) DE69838226T2 (ja)
TW (1) TW414945B (ja)
WO (1) WO1999023695A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005109483A1 (ja) * 2004-05-11 2005-11-17 Tokyo Electron Limited 電子装置用基板およびその処理方法
US7776736B2 (en) 2004-05-11 2010-08-17 Tokyo Electron Limited Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW430882B (en) 1997-11-20 2001-04-21 Tokyo Electron Ltd Plasma film forming method
JP3429171B2 (ja) 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
US6911378B2 (en) * 2003-06-24 2005-06-28 International Business Machines Corporation Stabilization of fluorine-containing dielectric materials in a metal insulator wiring structure
US8241996B2 (en) 2005-02-28 2012-08-14 Silicon Genesis Corporation Substrate stiffness method and resulting devices for layer transfer process
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US20070212816A1 (en) * 2006-03-08 2007-09-13 Tokyo Electron Limited Substrate processing system
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
EP2002484A4 (en) 2006-04-05 2016-06-08 Silicon Genesis Corp METHOD AND STRUCTURE FOR MANUFACTURING PHOTOVOLTAIC CELLS USING A LAYER TRANSFER PROCESS
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0676666B2 (ja) * 1987-02-10 1994-09-28 株式会社半導体エネルギ−研究所 炭素膜作製方法
JPH0475102A (ja) * 1990-07-18 1992-03-10 Fanuc Ltd モータ制御でのフィードフォアードゲインの学習方法
JPH07500876A (ja) * 1991-11-05 1995-01-26 リサーチ・トライアングル・インスティテュート 水系プラズマ放電を用いたダイヤモンド膜の化学蒸着
JPH0669190A (ja) * 1992-08-21 1994-03-11 Fujitsu Ltd フッ素系樹脂膜の形成方法
JPH06333916A (ja) * 1993-05-21 1994-12-02 Fuji Electric Co Ltd 非晶質カーボン膜の硬化方法
US5559367A (en) * 1994-07-12 1996-09-24 International Business Machines Corporation Diamond-like carbon for use in VLSI and ULSI interconnect systems
CA2157257C (en) * 1994-09-12 1999-08-10 Kazuhiko Endo Semiconductor device with amorphous carbon layer and method of fabricating the same
US5942328A (en) * 1996-02-29 1999-08-24 International Business Machines Corporation Low dielectric constant amorphous fluorinated carbon and method of preparation
JP2850834B2 (ja) * 1996-03-07 1999-01-27 日本電気株式会社 非晶質炭素膜の製造方法及び半導体装置
JPH09275102A (ja) * 1996-04-04 1997-10-21 Sony Corp 絶縁膜の形成方法
EP0821077A3 (en) * 1996-06-27 2000-09-06 Nissin Electric Co., Ltd. Object coated with carbon film and method of manufacturing the same
US5804259A (en) * 1996-11-07 1998-09-08 Applied Materials, Inc. Method and apparatus for depositing a multilayered low dielectric constant film
JPH10214829A (ja) * 1997-01-28 1998-08-11 Matsushita Electric Ind Co Ltd 層間絶縁膜の形成方法
US6030904A (en) * 1997-08-21 2000-02-29 International Business Machines Corporation Stabilization of low-k carbon-based dielectrics
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
US6020458A (en) * 1997-10-24 2000-02-01 Quester Technology, Inc. Precursors for making low dielectric constant materials with improved thermal stability
US5946601A (en) * 1997-12-31 1999-08-31 Intel Corporation Unique α-C:N:H/α-C:Nx film liner/barrier to prevent fluorine outdiffusion from α-FC chemical vapor deposition dielectric layers
US6184572B1 (en) * 1998-04-29 2001-02-06 Novellus Systems, Inc. Interlevel dielectric stack containing plasma deposited fluorinated amorphous carbon films for semiconductor devices
US6054398A (en) * 1999-05-14 2000-04-25 Advanced Micro Devices, Inc. Semiconductor interconnect barrier for fluorinated dielectrics

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005109483A1 (ja) * 2004-05-11 2005-11-17 Tokyo Electron Limited 電子装置用基板およびその処理方法
US7776736B2 (en) 2004-05-11 2010-08-17 Tokyo Electron Limited Substrate for electronic device capable of suppressing fluorine atoms exposed at the surface of insulating film from reacting with water and method for processing same

Also Published As

Publication number Publication date
EP1028457A4 (en) 2004-11-24
DE69838226D1 (de) 2007-09-20
EP1028457A1 (en) 2000-08-16
DE69838226T2 (de) 2008-05-08
KR20010031586A (ko) 2001-04-16
US6699531B1 (en) 2004-03-02
TW414945B (en) 2000-12-11
KR100509387B1 (ko) 2005-08-23
WO1999023695A1 (fr) 1999-05-14
JP3469761B2 (ja) 2003-11-25
EP1028457B1 (en) 2007-08-08

Similar Documents

Publication Publication Date Title
KR100414297B1 (ko) 반도체 장치
KR100430807B1 (ko) 플라즈마 성막 방법
JPH11135494A (ja) プラズマ処理方法
JP3429171B2 (ja) プラズマ処理方法及び半導体デバイスの製造方法
KR100374885B1 (ko) 절연막의 제조 방법
KR20010032168A (ko) 플라즈마 박막 증착 방법
US6544901B1 (en) Plasma thin-film deposition method
JP4141021B2 (ja) プラズマ成膜方法
JP4068204B2 (ja) プラズマ成膜方法
JP3515347B2 (ja) 半導体デバイスの製造方法及び半導体デバイス
JP3321148B2 (ja) フッ素添加カーボン膜及びその形成方法
JP4054123B2 (ja) プラズマ成膜方法
JPH11162961A (ja) プラズマ成膜方法
JP4018793B2 (ja) プラズマ成膜方法及び半導体デバイス
JPH11233501A (ja) プラズマ成膜方法
JPH11330063A (ja) プラズマ処理装置のクリ−ニング方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120905

Year of fee payment: 9

LAPS Cancellation because of no payment of annual fees