JPH10247650A - 銅線相互接続及び選択cvdアルミニウムプラグを用いた完全平坦化二重ダマシーンメタライゼーション - Google Patents

銅線相互接続及び選択cvdアルミニウムプラグを用いた完全平坦化二重ダマシーンメタライゼーション

Info

Publication number
JPH10247650A
JPH10247650A JP9370395A JP37039597A JPH10247650A JP H10247650 A JPH10247650 A JP H10247650A JP 9370395 A JP9370395 A JP 9370395A JP 37039597 A JP37039597 A JP 37039597A JP H10247650 A JPH10247650 A JP H10247650A
Authority
JP
Japan
Prior art keywords
layer
aluminum
deposition
barrier layer
depositing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP9370395A
Other languages
English (en)
Inventor
Liang-Yuh Chen
チャン リアン−ユー
Ted Guo
グオ テッド
Roderick Craig Mosely
クレイグ モーズリー ロデリック
Fusen Chen
チャン フセン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10247650A publication Critical patent/JPH10247650A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 【課題】 本発明は、一般的にの高度に集積された相互
接続部を形成するためのメタライゼーションプロセスを
提供する。 【解決手段】 更に詳細には、本発明は、バリア層内に
形成された、金属ワイアで埋め込まれた選択化学気相堆
積アルミニウム(CVD−Al)バイアを組み込んだデ
ュアルダマシーン相互接続モジュールを提供する。本発
明は、アルミニウムより低い抵抗率(高い導電性)及び
高いエレクトロマイグレーション耐性を有する銅ワイア
を有すること、銅ワイア及び包囲している絶縁材料との
間にバリア層を提供すること、ボイドのないサブハーフ
ミクロン選択CVD−Alバイアプラグを提供するこ
と、及びこのような集積性を達成するためのプロセスス
テップ数を低減すること、といった利点を提供する。

Description

【発明の詳細な説明】
【0001】
【発明の背景】
〔発明の分野〕本発明は、半導体デバイスを製造するた
めのメタライゼーション法に関する。より詳細には、本
発明は、銅線相互接続部及び選択CVD金属バイアプラ
グを用いた、完全に平坦化されたデュアル(二重化、du
al)ダマシーンメタライゼーションに関する。
【0002】[関連技術の背景]サブハーフミクロンのマ
ルチレベルメタライゼーションは、次世代超大規模集積
化(VLSI)の鍵となる技術のひとつである。この技
術の中心となるマルチレベル相互接続部には、コンタク
ト、バイア、ライン及び他の特徴部を含む高アスペクト
比の開口部内に形成された相互接続特徴部の平担化が必
要とされる。これら相互接続特徴部を信頼できるように
形成することは、VLSIの成功にとって、そして、個
々の基板及びダイ上の回路密度及び品質を向上させるべ
く継続されてきた努力にとって、非常に重要である。
【0003】回路密度が増加すると、バイア、コンタク
ト及び他の特徴部の幅は、それらの間の絶縁材料の幅と
同様に減少する。従って、ますます小さくなってくる、
ボイドのない特徴部の形成に多大な努力が向けられてい
る。そのような方法のひとつに、基板面上に提供された
露出したニュークリエーション(核形成nucleation)面
上のみに、構成材料の選択(的)堆積(CVD)を行う
ものがある。選択CVDでは、化学気相堆積の材料が導
電性基板と接触した時に膜層が堆積される。この構成材
料は、そのような基板上で核となって、更に堆積が進行
する金属表面が作られる。
【0004】選択CVD金属堆積(選択的なCVDによ
る金属の堆積)は、CVD金属(CVDによって堆積さ
れる金属)前駆ガスの分解が、通常は、導電性ニューク
リエーション膜からの電子ソースを必要とする事実に基
づいている。従来の選択CVD金属堆積プロセスによる
と、金属は、下地導電層からの金属膜、ドープされたシ
リコン又は金属シリサイドが露出した開口部の底部で成
長するが、フィールドや開口部壁等の絶縁面上では成長
しないであろう。下地導金属膜、即ちドープされたシリ
コンは、絶縁フィールド及び開口部壁と異なり電気的に
導電性であり、金属前駆ガスの分解に必要な電子を供給
して、結果として金属の堆積を行う。選択堆積の結果に
よって、開口部内でのCVD金属のエピタキシャル「ボ
トムアップ」成長を提供することができ、寸法が非常に
小さく(<0.25ミクロン)高アスペクト比(>5:
1)のバイア又はコンタクト開口部を埋め込むことがで
きる。
【0005】単体アルミニウム(Al)及びその合金
は、アルミニウムの低い抵抗率及び二酸化シリコン(S
iO2)に対する優れた付着性、パターンニングの容易
性及び高純度のために、従来より半導体処理におけるラ
イン及びプラグの形成に使用されてきた金属である。更
に、上記の選択CVDプロセスを促進するアルミニウム
前駆ガスは市販されている。しかし、アルミニウムは、
エレクトロマイグレーションに対しては抵抗率が高く、
問題を有している。エレクトロマイグレーションは、製
造中に起きる故障と相対して、回路の操作中に金属回路
内に起きる現象である。エレクトロマイグレーション
は、回路内でセットアップされる電場内の金属の拡散に
よって発生する。金属は、数時間に亘る操作の後に一端
から他端に移送されて、やがては完全に分離し、回路内
に穴を発生させる。この問題は、Cuをドープし、性質
を改善することによって時には克服される。しかし、エ
レクトロマイグレーションは、集積度が増加するにつれ
悪化する問題である。
【0006】一方、銅及びをその合金は、アルミニウム
より低い抵抗率とかなり高いエレクトロマイグレーショ
ン耐性を有している。これらの特性は、高度の集積度で
発生する高い電流密度を支持するために重要であり、デ
バイス速度を増加する。しかし、マルチレベルメタライ
ゼーション装置での銅金属を集積するうえの主要な問題
は、(1)エッチング技術を用いての金属のパターンニ
ングの困難性と、(2)CVDプロセスを用いずにPV
Dを用いて小さなバイアを埋め込むこととにある。サブ
ミクロン最小特徴サイズのデバイスに対しては、液体表
面張力、等方エッチプロファイル及びオーバエッチの困
難性のために、銅のパターンニングにウエットエッチ技
術は適応することができず、また信頼性を有するドライ
エッチプロセスはない。
【0007】選択無電解メッキ、選択化学気相堆積、高
温反応イオンエッチング及びリフトオフ処理を含んだ、
多くの方法が、パターンニングされた銅相互接続部を作
るために提案されてきた。無電解メッキでは、相互接続
部のフロアを導電性にするためにフロアに種をまかなく
てならない。これによって導電性フロアはチャージされ
て、溶液及び槽から銅を引き付けることができる。
【0008】選択化学気相堆積は、通常、電気的に導電
的な表面で金属前駆ガスを分解する。しかし、選択CV
D銅に対する信頼性を有するプロセスはない。
【0009】高温反応イオンエッチング(RIE)或い
はスパッタエッチングも、銅層をパターンニングするた
めに使用される。更に、RIEは、過剰な金属が剥離層
(release layer)によって構造体から持ち上げられ
て、銅の特徴部が内部に形成された平坦面を残すリフト
オフ処理と共に使用されることができる。
【0010】銅の金属配線の更に他の技術には、SiO
2等の絶縁材料の厚い層内にあるトラフ及び/又はコン
タクトのパターンニング及びエッチングが含まれる。そ
れらの後に、Ti、TiW又はTiN等のバリア金属の
薄い層が絶縁層の表面とトラフ及び/又はコンタクト内
とに提供されて、拡散バリアとして機能し、シリコン内
及びそれらの金属と酸化物との間に続いて堆積される金
属の内部拡散を防止するであろう。バリア金属堆積の
後、銅の層はトレンチを完全に埋め込むように堆積され
る。
【0011】これらの技術があるにもかかわらず、高い
集積度においては、相互接続部を製造するための銅メタ
ライゼーションプロセスの必要性がいまだ存在する。こ
のような高集積相互接続部では、コンタクト及びバイア
を形成するために、特に高アスペクト比のサブクォータ
ミクロン幅の開口部内にボイドのないバイアを提供しな
くてはならない。更に、プロセスには高い導電率及び改
善されたエレクトロマイグレーション耐性を有する回路
を提供する必要性がある。バイア内に金属プラグを、ト
レンチにワイアを形成するために少ない処理ステップで
すむ単純なプロセスを有することが望ましいであろう。
プロセスが、この全てを金属エッチ技術を用いることな
く達成することができれば更に望ましいであろう。
【0012】
【発明の概要】本発明は、デュアルダマシーンバイア及
びワイア形成部(wire definition)を有するデュアルダ
マシーン相互接続部を絶縁層内に形成する方法を提供す
るものである。なお、バイアは堆積強化(deposition e
nhancing)材料を露出したフロアを有している。本方法
は、プラグをバイア内に形成するために、導電金属(好
ましくはアルミニウム)をバイアフロアの堆積強化材料
上へ選択化学気相堆積することを含む。バリア層は、次
に、プラグ及びワイア形成部の露出された表面上に堆積
される。ワイア形成部は次に、導電金属(好ましくは
銅)をバリア層上に堆積することによって埋め込まれ
る。最後に、導電金属、バリア層及び絶縁層は、化学的
機械研磨等によって平坦化され、導電性ワイアを形成す
る。
【0013】他の見地によると、本発明はデュアルダマ
シーン相互接続モジュールを、堆積強化材料上に形成す
る方法を提供するものである。本方法は、更に絶縁層を
堆積強化材料上に形成して絶縁層をエッチングし、デュ
アルダマシーンバイア及びワイア形成部を形成するステ
ップを含んでいる。なお、バイアは堆積強化材料が露出
したフロアを有している。基板がもはや堆積強化材料の
層を有していないところでは、この層は絶縁層の形成に
先立って提供されている。更に、マルチレベル金属相互
接続部は、本発明によって、後続の堆積強化材料のバリ
ア層を平坦化された層の上に堆積することによって形成
されるであろう。絶縁層は引き続いて形成されて上記の
ステップの繰り返しによって埋め込まれる。
【0014】
【好ましい実施形態の詳細な説明】本発明は、一般的
に、高集積構造体に、低減された相互接続抵抗及び改良
されたエレクトロマイグレーション性能を有する相互接
続部を提供するインサイチュ(in-situ)メタライゼー
ションプロセスを提供する。更に詳細には、本発明は、
バリア層に形成された銅ワイアを用いたバイアの選択化
学気相堆積(CVD)金属埋込部を組み込んだデュアル
ダマシーン相互接続部を提供する。本発明は、(1)ア
ルミニウムより低い抵抗率(高導電性)及び高いエレク
トロマイグレーション耐性を有する銅ワイアと、(2)
銅ワイアと、包囲している絶縁材料との間のバリア層
と、(3)ボイドのないサブハーフミクロン選択CVD
金属バイアプラグと、(4)少ないプロセスステップ数
と、を有するという利点を提供する。
【0015】明確にするために、以下に、バイアプラグ
を形成するための選択CVD−Alプロセスとワイアを
形成するためのPVD−Cuプロセスとに関して本発明
を説明する。しかし、他の選択CVD金属プロセス及び
PVD−Al/Cu等の他のPVD金属プロセスを、本
発明の利点を達成するために用いることができる。本発
明の一見地によると、低抵抗率及び高エレクトロマイグ
レーション耐性を有するデュアルダマシーン相互接続部
を形成するための方法が提供される。この方法は、絶縁
層内にエッチングされるデュアルダマシーンバイア及び
ワイア形成部を利用するものである。サブハーフミクロ
ンバイアは、ボイドなしで選択CVD−Alによって埋
め込まれる。従って、ワイア形成部にはバリア層が与え
られて、物理気相堆積(PVD)技術を用いて銅(C
u)で埋め込まれる。ワイアは構造体を平坦化すること
によって仕上げられる。
【0016】本発明の他の見地によると、上記のよう
に、ウォーム(warm)PVD−Al層を、バリア層の形
成に先立ってCVD−Alプラグ及び露出絶縁層上に堆
積する更なるステップを有する方法が提供される。ウォ
ームPVD−Al層は、約150℃より高く、好ましく
は250℃より高い温度で堆積される。ウォームPVD
−Alは、CVD−Alステップでの選択性の損失が絶
縁面にノジュール(団塊(nodule))を作り出しているとこ
ろに、平坦化された金属膜を提供するのに望ましい。こ
れらのノジュールは薄い平坦化された金属層内に組み込
まれ、続いて堆積されるバリア層は、確実に、銅が拡散
してしまうギャップ或いはボイドなしで均一に堆積され
る。
【0017】本発明に従ってIC構造体を形成するに
は、従来技術によって、基板に形成された堆積強化材料
上に、絶縁層が形成される。絶縁層は、単一メタライゼ
ーション層の約2倍の厚さであろう。それはデュアルダ
マシーンバイア及びワイア形成部がエッチングされるか
らである。現在既知であるか或いはいまだ発見される状
態にあるかを問わず、いかなる絶縁層も使用されること
ができ、本発明の範囲内にある。絶縁層は、適切ならば
いかなる堆積強化材料堆積上にも堆積されることができ
るが、好ましい堆積強化材料は、導電金属及びドープさ
れたシリコンを含んでいる。
【0018】図1(A)〜図1(E)について述べる。
好ましくは導電性部材又は層である堆積強化層14上に
形成された絶縁層16を含む形で重層構造体10の断面
図を示す。堆積強化層14は、ドープされたシリコン基
板、或いは基板上に形成された第1又は後続の導電層の
形であろう。絶縁層16が、当業者に既知の手順に従っ
て堆積強化層14上に形成され、全集積回路の一部分を
形成する。
【0019】絶縁層は堆積された後でエッチングされ
て、デュアルダマシーンバイア及びワイアが形成され
る。バイアはフロア30を有し、堆積強化層14の僅か
な部分を露出している。絶縁層16のエッチングが、プ
ラズマエッチングを含んだ絶縁エッチングプロセスを用
いて達成される。二酸化シリコン及び有機材料をエッチ
ングするための特有の技術は、緩衝材で処理されたフッ
化水素酸及びアセトン或いはEKCのような化合物をそ
れぞれ含んでいるであろう。しかし、パターンニングは
当該技術にに既知の方法を用いて達成されるであろう。
【0020】図1(A)は、絶縁層16内に形成された
デュアルダマシーンバイア及びワイア形成部32の断面
図を示している。本発明に従って形成された形成部32
は、通常、下地導電部材と電気的に相互接続する導電性
相互接続部の堆積を促進するためのものである。形成部
32にはバイア壁34及びフロア30が設けられてお
り、少なくとも堆積強化層14の一部分が露出されてい
る。堆積強化層14は、金属、ドープされたシリコン若
しくは他の導電性材料を含むデバイス、層、又はワイア
であろう。特に、堆積強化材料は、アルミニウム、アル
ミニウム酸化物、チタニウム、チッ化チタニウム、チッ
化タンタル及びドープされたシリコンからなる群から選
択される金属のバリア層が設けられているであろう。本
発明に従うと、導電性バイアフロアの存在によって、選
択的CVD金属プロセスが提供されて、バイア或いはプ
ラグが埋め込まれる。選択的CVD処理のための好まし
い金属は、アルミニウムである。例えば、CVD−Al
膜は、ジメチルアルミニウム水素化物(「DMAH」)
の分解反応によって形成されることができる。この特定
反応は、反応物質が、導電材料の表面等の電子供与体で
ある堆積強化材料と接触した時に、極めて急速に生じ
る。従って、幾つかの導電性面と、幾つかの非導電性面
とを備えた構造体を用意することによって、CVD−A
lが、どこに、どのように堆積されるかということに対
して、少なくともいくらかの制御性又は選択性を達成す
ることは可能である。
【0021】図1(B)に、バイア内32に形成された
ボイドのない金属プラグ18の断面図を示す。選択CV
D−Alは、ボイドのない単結晶プラグのエピタキシャ
ル成長を提供する。しかし、CVD−Alが比較的選択
的であるにもかかわらず、非導電性の絶縁層16の表面
がニュークリエーションサイトとして機能する欠陥を含
む場合、少量のCVD−Alが堆積する可能性があり、
ノジュールが形成される。
【0022】CVD−Alは、種々の条件の下で堆積さ
れ得るが、通常のプロセスは、基板温度が約120〜2
80℃、堆積速度が約20〜200オングストローム/
秒を含み、選択CVDに対しては300〜1000オン
グストローム/秒好ましくは約1500オングストロー
ム/秒である。CVD−Alの堆積は、チャンバ圧力約
1〜80torr、好ましくはチャンバ圧力約25to
rrで行うことができる。CVD−Alのための好まし
い堆積反応は、以下の公式に従ったジメチルアルミニウ
ム水素化物(「DMAH」)と水素ガス(H2)との反
応を含んでいる。
【0023】 6(CH3)2Al-H+3H2 --------- 6Al+12CH4、又は (CH3)2Al-H ------------- Al+2TMA(トリメチルアルミ
ニウム)+H2 下地導電層14の表面30がバイア32のフロアでCV
D−Alに対して露出しているので、金属相互接続部1
8を形成するバイア32内の堆積は選択的である。従っ
て、CVD−Alは、フロア30から上方に堆積され
て、バイア壁34に実質的にCVD−Al堆積すること
なく、バイア28を埋め込む。
【0024】更に、バイア32は、実質的に非導電性で
ある絶縁壁34と導電フロア30とを備えている。上で
検討したように、開口部の絶縁壁34等の実質的な非導
電材料は、良好な電子供与体ではないので、CVD金属
前駆体の分解のための良好なニュークリエーション部を
提供しない。逆に、CVD金属膜は、バイア32のフロ
アを形成している露出導電性部材14が分解の核となる
ために、バイアフロア30上に形成し始める。金属の最
初の層がバイアフロア30上に堆積された後、後続の堆
積はより容易に生じるので、金属はバイアフロア30か
ら上方又は外方へ成長して、バイア32を埋め込む。
【0025】バイア32の絶縁壁34上の欠陥が開口部
内部で散在するノジュールを形成させるかもしれない
が、ノジュール形成が選択成長よりもはるかに低速で生
じるので、これらのノジュールは通常、開口部を塞いで
開口部内にボイドを生じたりしない。導電バイアフロア
30は通常の欠陥よりもはるかに大きい表面積を露出し
ているので、5:1という高いアスペクト比を有するバ
イア内であっても、ノジュールがバイアを横断して成長
して内部にボイドを形成してしまう機会を得る前に、バ
イアを金属でフロアから上方に向かって埋め込んでしま
う。選択堆積の終点は、体積速度及び持続時間で決定さ
れる。
【0026】図1(c)について述べる。バリア層20
が、ワイア形成部38の壁部及びフロアと同様にアルミ
ニウムプラグ18上に堆積される。バリア層は、好まし
くはチタニウム、窒化チタニウム、タンタル及び窒化タ
ンタルで形成されている。使用されているプロセスはP
VD又はCVDであろう。バリア層は銅の拡散を制限
し、飛躍的にアルミニウムプラグ18の信頼性を増加さ
せる。バリア層は、好ましくは約50〜400オングス
トローム、最も好ましくは約200オングストロームの
厚さを有する。
【0027】図1(D)について述べる。銅22がバリ
ア層20上に物理気相堆積されており、ワイア形成部3
8を埋め込んでいる(図1(C)参照)。ワイア形成部
を埋め込むために、通常、構造体の全領域をPVD−C
uで被覆する。
【0028】図1(E)について述べる。構造体10の
頂部は、次に、好ましくは化学的機械研磨(CMP)に
よって平坦化される。平坦化プロセス中、銅22、バリ
ア材料20及び絶縁層16の一部は構造体の頂部から除
去され、形成された導電ワイア39を有する完全な平坦
面が残る。
【0029】本発明の第2の見地によると、上述の方法
は、バリア層の形成に先立ってアルミニウムの薄膜をプ
ラグ及びワイア形成部の露出面に物理気相堆積するステ
ップを更に備える。薄いPVD−Al層の目的は、ワイ
ア形成部の表面上のいかなるノジュールをも滑らかに
し、バリア層を均一且つ連続的にすることである。
【0030】図2(A)〜図2(F)は、本発明の第2
の見地を含むステップを示している。図2(A)及び図
2(B)はそれぞれ図1(A)及び図1(B)と同様で
ある。図2(C)は、図2(B)の構造体上に形成され
たPVD−Al層42を示している。PVD−Al層
は、先の選択的CVD−Alステップ中に形成された全
てのノジュールをも組み込んだ滑らかな層を提供する。
PVD−Al層42の好ましい厚さは、約100〜70
0オングストロームである。図2(D)〜図2(F)
は、次に、上述の図1(C)〜図1(E)と類似した態
様での、バリア層20の堆積、PVDCu層22の堆
積、そして構造体40の平坦化をそれぞれ詳しく説明し
たものである。故に、本発明の第2の見地は、初めに説
明したプロセスに非常に類似しているが、CVD−Al
プラグ18とバリア層20との間にPVD−Al層42
を堆積する中間ステップが加えられている。
【0031】本発明の更に他の見地では、マルチレベル
金属相互接続部を形成する方法が提供される。第1番目
に、堆積強化金属の層が被処理体に提供される。次に、
絶縁層が、堆積強化材料の露出された層上に形成されて
エッチングされ、デュアルダマシーンバイア及びワイア
形成部が形成される。バイアはフロアを有しており、堆
積強化材料を露出している。アルミニウムの選択的化学
気相堆積(CVD−Al)が行われて、アルミニウムが
バイアフロアの堆積強化材料上に堆積され、プラグがバ
イア内に形成される。この段階で、相互接続部はPVD
−Alの薄膜をプラグ及びワイア形成部の露出面上に任
意的に受けることができる。この任意的層は、上記のよ
うに、選択性の損失によってもたらされた絶縁面のアル
ミニウムノジュールを滑らかにするために有益である。
【0032】次に、第1のバリア層が、アルミニウムプ
ラグ及び絶縁面上に、或いは、代替えとして、任意的な
PVD−Al層上に堆積される。PVD−Cuが、次
に、バリア層上に堆積されて、ワイア形成部が埋め込ま
れる。構造体を含む銅層、バリア層、アルミニウム層及
び絶縁層が、好ましくはCMPによって平坦化され、導
電ワイアが形成される。堆積強化材料としても機能する
する第2のバリア層が、次に平坦化層上に堆積される。
この態様で、銅ワイアはバリア層で包囲されて銅の拡散
が防止され、堆積強化層が提供され、プロセスは何度で
も繰り返されることができ、マルチレベルモジュールが
形成される。最後のバリア層が最後の銅ワイア上に堆積
されると、好ましくは、パッシベーティング層が頂部に
加えられる。
【0033】本発明の方法は、好ましくは基板を適宜処
理するようにプログラムされた一体型クラスタツールで
行われる。図3に、代表的な一体型クラスタツール60
の概略図を示す。クラスタツールの完全な説明及び一般
的な操作は、一般的に譲渡された米国特許出願第08/
571,605号明細書に説明されており、本明細書に
援用されている。チャンバの正確な配置及び組み合わせ
は、製造プロセスの特定のステップを行う目的のために
変形することができる。
【0034】本発明によると、クラスタツール60に
は、上記の処理方法を行うようにプログラムされている
マイクロプロセッサコントローラが好ましくは装備され
ている。プロセスを行うために、基板がカセットロード
ロック62を通して導入されなくてはならない。基板
は、カセットロードロック62からバッファチャンバを
通してブレード67を有するロボット64によって、脱
ガスウエハ位置決めチャンバ70に搬送され、次にプレ
クリーンチャンバ72に搬送される。
【0035】エッチングされた基板は、次に、ロボット
によって選択CVD−Alチャンバ82に入れられて、
プラグ形成用バイアのボイドのない埋め込みが行われ
る。ノジュールがいくらかワイア形成部上に形成される
可能性があるので、基板をウォームPVD−Alチャン
バ層84に搬送して、ウォームアルミニウムの堆積によ
ってノジュールを平坦化するのが望ましい。
【0036】基板は次にチャンバ86に搬送されて、バ
リア層が物理気相堆積によってプラグ及びワイア形成部
上に堆積される。次に銅が物理気相堆積によって堆積さ
れて、ワイア形成部が埋め込まれる。基板は、基板上に
所望の構造体の製造を達成するための任意の目的のため
に、1以上のチャンバで何度も処理或いは冷却されるこ
とが予想される。基板は、次に搬送チャンバ80、冷却
チャンバ76及びバッファチャンバ68を通してロード
ロック62に戻されて取り除かれる。ワイアを形成する
ために、基板は次に平坦化のために化学的機械研磨装置
((図示せず)に送られる。
【0037】1段階式真空ウエハ処理装置が、Tepman等
の“段階式真空ウエハ処理装置及び方法"という名称
の、1993年2月16日に付与された米国特許第
5,186,718号明細書に開示されており、その内
容は本明細書に援用されている。
【0038】上記の内容は、本発明の好ましい実施形態
についてのものであるが、本発明の他の、そして更なる
実施形態が、基本的範囲から逸脱することなく工夫され
得る。本発明の範囲は添付請求項によって決定される。
【図面の簡単な説明】
上で簡単に概略的に示された本発明の、より詳細な説明
を添付図面に図示されたそれらの実施形態を参照して得
ることができ、本発明の上記の特徴、利点及び目的を達
成する態様が詳細に理解できる。しかし、添付図面は、
本発明の代表的な実施形態のみを図示しており、従って
その範囲を制限するものと見なされるものではなく、故
に、本発明が他の等しく効果的な実施形態を認めること
ができることに留意すべきである。
【図1】(A)〜(E)は、本発明の第1実施形態に従
ったデュアルダマシーンバイア及びワイア形成部並びに
金属相互接続部を提供するためのステップを示した図で
ある。
【図2】(A)〜(F)は、本発明の第2実施形態に従
ったデュアルダマシーンバイア及びワイア形成部並びに
金属相互接続部を提供するためのステップを示した図で
ある。
【図3】本発明に従った、連続的なメタライゼーション
のための一体型処理装置の図である。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 テッド グオ アメリカ合衆国, カリフォルニア州, パロ アルト, エー タンランド ドラ イヴ 1079 (72)発明者 ロデリック クレイグ モーズリー アメリカ合衆国, カリフォルニア州, プレザントン, ディアヴィラ アヴェニ ュー 4337 (72)発明者 フセン チャン アメリカ合衆国, カリフォルニア州, クパティノ, スターン アヴェニュー 10390

Claims (24)

    【特許請求の範囲】
  1. 【請求項1】堆積強化材料を露出したフロアを有するデ
    ュアルダマシーンバイアと、ワイア形成部とを有する絶
    縁層に、デュアルダマシーン相互接続部を形成する方法
    であって、(a)前記バイア内にプラグを形成するため
    に、第1の導電金属を前記バイアフロアの前記堆積強化
    材料上に選択的に化学気相堆積するステップと、(b)
    バリア層を、前記絶縁ワイア形成部及び前記プラグの前
    記露出した表面に堆積するステップと、(c)前記ワイ
    ア形成部を埋め込むために、第2の導電金属を前記バリ
    ア層上に堆積するステップと、(d)導電ワイアを形成
    するために、前記第2の導電金属、前記バリア層及び前
    記絶縁層を平坦化するステップと、を含む方法。
  2. 【請求項2】前記第2の導電金属が銅である請求項1の
    方法。
  3. 【請求項3】前記第2の導電金属が、銅とアルミニウム
    と銅及びアルミニウムの混合物とから成る群から選択さ
    れる請求項1の方法。
  4. 【請求項4】前記第1の導電金属がアルミニウムである
    請求項1の方法。
  5. 【請求項5】ステップ(a)〜ステップ(c)が、一体
    型処理装置内で行われる請求項1の方法。
  6. 【請求項6】前記平坦化するステップが、化学機械研磨
    によって行われる請求項1の方法。
  7. 【請求項7】前記バリア層が、チタニウム、窒化チタニ
    ウム、タンタル、窒化タンタル、ドープされたシリコ
    ン、アルミニウム及び酸化アルミニウムから成る群から
    選択される材料を含む請求項1の方法。
  8. 【請求項8】堆積強化材料を露出したフロアを有するデ
    ュアルダマシーンバイアと、ワイア形成部とを有する絶
    縁層に、デュアルダマシーン相互接続部を形成する方法
    であって、(a)前記バイア内にプラグを形成するため
    に、アルミニウムを前記バイアフロアの前記堆積強化材
    料上に選択的に化学気相堆積するステップと、(b)ア
    ルミニウムの薄い層を、前記ワイア形成部及び前記プラ
    グの前記露出した表面に物理気相堆積するステップと、
    (c)前記アルミニウム層上にバリア層を堆積するステ
    ップと、(d)前記ワイア形成部を埋め込むために、導
    電金属を前記バリア層上に堆積するステップと、(e)
    導電ワイアを形成するために、前記導電金属、前記バリ
    ア層、前記アルミニウム層及び前記絶縁層を平坦化する
    ステップと、を含む方法。
  9. 【請求項9】前記導電金属が銅である請求項8の方法。
  10. 【請求項10】前記導電金属が、銅と、アルミニウム
    と、銅及びアルミニウムの混合物とから成る群から選択
    される請求項8の方法。
  11. 【請求項11】ステップ(a)〜ステップ(d)が、一
    体型処理装置内で行われる請求項8の方法。
  12. 【請求項12】前記バリア層が、チタニウム、窒化チタ
    ニウム、タンタル、窒化タンタル、ドープされたシリコ
    ン、アルミニウム及び酸化アルミニウムから成る群から
    選択される材料を含む請求項8の方法。
  13. 【請求項13】デュアルダマシーン相互接続モジュール
    を堆積強化材料上に形成する方法であって、(a)絶縁
    層を前記堆積強化材料上に形成するステップと、(b)
    堆積強化材料を露出したフロアを有するデュアルダマシ
    ーンバイアと、ワイア形成部とを形成するために前記絶
    縁層をエッチングするステップと、(c)前記バイア内
    にプラグを形成するために、導電金属を前記バイアフロ
    アの前記堆積強化材料上に選択的に化学気相堆積するス
    テップと、(d)バリア層を、前記ワイア形成部及び前
    記プラグの前記露出した表面に堆積するステップと、
    (e)前記ワイア形成部を埋め込むために、銅を前記バ
    リア層上に物理気相堆積するステップと、(f)導電ワ
    イアを形成するために、前記銅層、前記バリア層及び前
    記絶縁層を平坦化するステップと、を含む方法。
  14. 【請求項14】前記堆積するステップが、一体型処理装
    置内で行われる請求項13の方法。
  15. 【請求項15】前記平坦化するステップが、化学機械研
    磨によって行われる請求項13の方法。
  16. 【請求項16】前記バリア層が、チタニウム、窒化チタ
    ニウム、タンタル、窒化タンタル、ドープされたシリコ
    ン、アルミニウム及び酸化アルミニウムから成る群から
    選択される材料を含む請求項13の方法。
  17. 【請求項17】 前記導電材料がアルミニウムである請
    求項13の方法。
  18. 【請求項18】 前記堆積強化材料が、チタニウム、窒
    化チタニウム、タンタル、窒化タンタル、ドープされた
    シリコン、アルミニウム及び酸化アルミニウムから成る
    群から選択される材料のバリア層によって提供される請
    求項13の方法。
  19. 【請求項19】デュアルダマシーン相互接続モジュール
    を形成する方法であって、(a)絶縁層を堆積強化材料
    上に形成するステップと、(b)前記堆積強化材料を露
    出したフロアを有するデュアルダマシーンバイアと、ワ
    イア形成部とを形成するために前記絶縁層をエッチング
    するステップと、(c)前記バイア内にプラグを形成す
    るために、アルミニウムを前記バイアフロアの前記堆積
    強化材料上に選択的に化学気相堆積するステップと、
    (d)アルミニウムの薄い層を、前記ワイア形成部及び
    前記バイアの露出した表面に物理気相堆積するステップ
    と、(e)バリア層を、前記アルミニウム層上に堆積す
    るステップと、(f)前記ワイア形成部を埋め込むため
    に、前記バリア層上に銅を物理気相堆積するステップ
    と、(g)導電ワイアを形成するために、前記構造体の
    上面を平坦化するステップと、を含む方法。
  20. 【請求項20】前記堆積するステップが、一体型処理装
    置内で行われる請求項19の方法。
  21. 【請求項21】前記バリア層が、チタニウム、窒化チタ
    ニウム、タンタル、窒化タンタル、ドープされたシリコ
    ン、アルミニウム及び酸化アルミニウムから成る群から
    選択される材料を含む請求項19の方法。
  22. 【請求項22】前記堆積強化材料が、チタニウム、窒化
    チタニウム、タンタル、窒化タンタル、ドープされたシ
    リコン、アルミニウム及び酸化アルミニウムから成る群
    から選択される金属のバリア層によって提供される請求
    項19の方法。
  23. 【請求項23】マルチレベル金属相互接続部を形成する
    方法であって、(a)ワークピース上に堆積強化材料び
    層を提供するステップと、(b)絶縁層を堆積強化材料
    の露出した層上に形成するステップと、(c)前記堆積
    強化材料を露出したフロアを有するデュアルダマシーン
    バイアと、ワイア形成部とを形成するために前記絶縁層
    をエッチングするステップと、(d)前記バイア内にプ
    ラグを形成するために、アルミニウムを前記バイアフロ
    アの前記堆積強化材料上に選択的に化学気相堆積するス
    テップと、(e)アルミニウムの薄い層を、ワイア形成
    部及び前記バイアの露出した表面に物理気相堆積するス
    テップと、(f)第1のバリア層を、前記アルミニウム
    層上に堆積するステップと、(g)前記ワイア形成部を
    埋め込むために、前記バリア層上に銅を物理気相堆積す
    るステップと、(h)導電ワイアを形成するために、前
    記銅層、前記バリア層及び前記絶縁層を平坦化するステ
    ップと、(i)堆積強化材料である第2のバリア層を前
    記平坦化した層上に堆積するステップと、(j)ステッ
    プ(b)〜ステップ(h)を繰り返すステップと、を含
    む方法。
  24. 【請求項24】パッシベーティング層を前記平坦化され
    た層の上面に加えるステップを更に含む請求項23の方
    法。
JP9370395A 1996-12-30 1997-12-26 銅線相互接続及び選択cvdアルミニウムプラグを用いた完全平坦化二重ダマシーンメタライゼーション Withdrawn JPH10247650A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/778205 1996-12-30
US08/778,205 US6537905B1 (en) 1996-12-30 1996-12-30 Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug

Publications (1)

Publication Number Publication Date
JPH10247650A true JPH10247650A (ja) 1998-09-14

Family

ID=25112616

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9370395A Withdrawn JPH10247650A (ja) 1996-12-30 1997-12-26 銅線相互接続及び選択cvdアルミニウムプラグを用いた完全平坦化二重ダマシーンメタライゼーション

Country Status (6)

Country Link
US (2) US6537905B1 (ja)
EP (1) EP0851483A3 (ja)
JP (1) JPH10247650A (ja)
KR (1) KR100502252B1 (ja)
SG (1) SG65719A1 (ja)
TW (1) TW466737B (ja)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6127263A (en) * 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
US6391771B1 (en) * 1998-07-23 2002-05-21 Applied Materials, Inc. Integrated circuit interconnect lines having sidewall layers
TW437040B (en) 1998-08-12 2001-05-28 Applied Materials Inc Interconnect line formed by dual damascene using dielectric layers having dissimilar etching characteristics
US6720654B2 (en) 1998-08-20 2004-04-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with cesium barrier film and process for making same
US6351036B1 (en) * 1998-08-20 2002-02-26 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with a barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
WO2000011721A1 (en) * 1998-08-20 2000-03-02 The Government Of The United States Of America, Represented By The Secretary Of The Navy Electronic devices with barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6734558B2 (en) 1998-08-20 2004-05-11 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with barium barrier film and process for making same
US6225207B1 (en) 1998-10-01 2001-05-01 Applied Materials, Inc. Techniques for triple and quadruple damascene fabrication
KR100278662B1 (ko) * 1998-12-02 2001-02-01 윤종용 다마신 금속배선 및 그 형성방법
TW426980B (en) * 1999-01-23 2001-03-21 Lucent Technologies Inc Wire bonding to copper
IL128200A (en) * 1999-01-24 2003-11-23 Amitec Advanced Multilayer Int Chip carrier substrate
KR100358045B1 (ko) * 1999-12-22 2002-10-25 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
EP1119046A3 (en) * 2000-01-21 2002-07-03 Lucent Technologies Inc. Wire bonding technique and architecture suitable for copper metallization in semiconductor structures
US6465887B1 (en) 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
US6528180B1 (en) * 2000-05-23 2003-03-04 Applied Materials, Inc. Liner materials
US7474002B2 (en) * 2001-10-30 2009-01-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having dielectric film having aperture portion
US6620724B1 (en) * 2002-05-09 2003-09-16 Infineon Technologies Ag Low resistivity deep trench fill for DRAM and EDRAM applications
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
KR100474857B1 (ko) * 2002-06-29 2005-03-10 매그나칩 반도체 유한회사 반도체 소자의 구리 배선 형성방법
US8372205B2 (en) * 2003-05-09 2013-02-12 Applied Materials, Inc. Reducing electrostatic charge by roughening the susceptor
US20040221959A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Anodized substrate support
US7323230B2 (en) * 2004-08-02 2008-01-29 Applied Materials, Inc. Coating for aluminum component
KR100621630B1 (ko) * 2004-08-25 2006-09-19 삼성전자주식회사 이종 금속을 이용하는 다마신 공정
US7732056B2 (en) 2005-01-18 2010-06-08 Applied Materials, Inc. Corrosion-resistant aluminum component having multi-layer coating
US7592254B2 (en) * 2005-11-01 2009-09-22 The Board Of Trustees Of The University Of Illinois Methods for coating and filling high aspect ratio recessed features
US8173228B2 (en) * 2006-01-27 2012-05-08 Applied Materials, Inc. Particle reduction on surfaces of chemical vapor deposition processing apparatus
US20070218214A1 (en) * 2006-03-14 2007-09-20 Kuo-Chih Lai Method of improving adhesion property of dielectric layer and interconnect process
KR100792358B1 (ko) * 2006-09-29 2008-01-09 주식회사 하이닉스반도체 반도체 소자의 금속배선 및 그 형성방법
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8252653B2 (en) * 2008-10-21 2012-08-28 Applied Materials, Inc. Method of forming a non-volatile memory having a silicon nitride charge trap layer
US8198671B2 (en) * 2009-04-22 2012-06-12 Applied Materials, Inc. Modification of charge trap silicon nitride with oxygen plasma
JP5489705B2 (ja) * 2009-12-26 2014-05-14 キヤノン株式会社 固体撮像装置および撮像システム
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8846146B2 (en) 2010-11-01 2014-09-30 The Board Of Trustees Of The University Of Illinois Smoothing agents to enhance nucleation density in thin film chemical vapor deposition
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9543248B2 (en) 2015-01-21 2017-01-10 Qualcomm Incorporated Integrated circuit devices and methods
US11584986B1 (en) 2017-11-01 2023-02-21 The Board Of Trustees Of The University Of Illinois Area selective CVD of metallic films using precursor gases and inhibitors
US11380581B2 (en) 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
US10840260B2 (en) * 2019-01-18 2020-11-17 Sandisk Technologies Llc Through-array conductive via structures for a three-dimensional memory device and methods of making the same
US11101171B2 (en) 2019-08-16 2021-08-24 Micron Technology, Inc. Apparatus comprising structures including contact vias and conductive lines, related methods, and memory devices

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5010032A (en) 1985-05-01 1991-04-23 Texas Instruments Incorporated Process for making CMOS device with both P+ and N+ gates including refractory metal silicide and nitride interconnects
JPS639925A (ja) 1986-06-30 1988-01-16 Nec Corp 半導体装置の製造方法
JPS6373660A (ja) 1986-09-17 1988-04-04 Fujitsu Ltd 半導体装置
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63160328A (ja) 1986-12-24 1988-07-04 Mitsubishi Electric Corp 半導体装置の製造方法
US4994410A (en) 1988-04-04 1991-02-19 Motorola, Inc. Method for device metallization by forming a contact plug and interconnect using a silicide/nitride process
US4920072A (en) 1988-10-31 1990-04-24 Texas Instruments Incorporated Method of forming metal interconnects
US4920073A (en) 1989-05-11 1990-04-24 Texas Instruments, Incorporated Selective silicidation process using a titanium nitride protective layer
JPH038359A (ja) 1989-06-06 1991-01-16 Fujitsu Ltd 半導体装置の製造方法
US4987099A (en) 1989-12-29 1991-01-22 North American Philips Corp. Method for selectively filling contacts or vias or various depths with CVD tungsten
JP2513900B2 (ja) 1990-05-08 1996-07-03 富士通株式会社 半導体装置の製造方法
US5091339A (en) 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
US5250465A (en) 1991-01-28 1993-10-05 Fujitsu Limited Method of manufacturing semiconductor devices
US5147819A (en) 1991-02-21 1992-09-15 Micron Technology, Inc. Semiconductor metallization method
US5250467A (en) * 1991-03-29 1993-10-05 Applied Materials, Inc. Method for forming low resistance and low defect density tungsten contacts to silicon semiconductor wafer
JP2533414B2 (ja) 1991-04-09 1996-09-11 三菱電機株式会社 半導体集積回路装置の配線接続構造およびその製造方法
US5292558A (en) 1991-08-08 1994-03-08 University Of Texas At Austin, Texas Process for metal deposition for microelectronic interconnections
US5262354A (en) 1992-02-26 1993-11-16 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
KR950010854B1 (ko) * 1992-10-30 1995-09-25 현대전자산업주식회사 텅스텐 플러그 형성방법
US5354712A (en) * 1992-11-12 1994-10-11 Northern Telecom Limited Method for forming interconnect structures for integrated circuits
JPH0722339A (ja) 1993-07-05 1995-01-24 Toshiba Corp 薄膜形成方法
US5384284A (en) 1993-10-01 1995-01-24 Micron Semiconductor, Inc. Method to form a low resistant bond pad interconnect
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JPH07263589A (ja) * 1994-02-18 1995-10-13 Kawasaki Steel Corp 多層配線構造およびその製造方法
US5439731A (en) 1994-03-11 1995-08-08 Cornell Research Goundation, Inc. Interconnect structures containing blocked segments to minimize stress migration and electromigration damage
US5635423A (en) 1994-10-11 1997-06-03 Advanced Micro Devices, Inc. Simplified dual damascene process for multi-level metallization and interconnection structure
US5529953A (en) 1994-10-14 1996-06-25 Toshiba America Electronic Components, Inc. Method of forming studs and interconnects in a multi-layered semiconductor device
US5534462A (en) * 1995-02-24 1996-07-09 Motorola, Inc. Method for forming a plug and semiconductor device having the same
US5874201A (en) 1995-06-05 1999-02-23 International Business Machines Corporation Dual damascene process having tapered vias
US5962923A (en) * 1995-08-07 1999-10-05 Applied Materials, Inc. Semiconductor device having a low thermal budget metal filling and planarization of contacts, vias and trenches
US5633199A (en) * 1995-11-02 1997-05-27 Motorola Inc. Process for fabricating a metallized interconnect structure in a semiconductor device
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US6120844A (en) * 1995-11-21 2000-09-19 Applied Materials, Inc. Deposition film orientation and reflectivity improvement using a self-aligning ultra-thin layer
US5877087A (en) * 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) * 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
KR100440418B1 (ko) * 1995-12-12 2004-10-20 텍사스 인스트루먼츠 인코포레이티드 저압,저온의반도체갭충전처리방법
US6077571A (en) * 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5989633A (en) * 1996-04-29 1999-11-23 Applied Materials, Inc. Process for overcoming CVD aluminum selectivity loss with warm PVD aluminum
KR100215846B1 (ko) * 1996-05-16 1999-08-16 구본준 반도체장치의 배선형성방법
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US5783485A (en) * 1996-07-19 1998-07-21 Motorola, Inc. Process for fabricating a metallized interconnect
EP0821484B1 (en) * 1996-07-24 2002-10-09 STMicroelectronics S.r.l. High voltage tolerance output stage
JP3488586B2 (ja) * 1996-12-24 2004-01-19 株式会社東芝 半導体装置の製造方法

Also Published As

Publication number Publication date
KR100502252B1 (ko) 2005-09-26
US6537905B1 (en) 2003-03-25
SG65719A1 (en) 1999-06-22
KR19980064795A (ko) 1998-10-07
EP0851483A2 (en) 1998-07-01
US20030161943A1 (en) 2003-08-28
US7112528B2 (en) 2006-09-26
TW466737B (en) 2001-12-01
EP0851483A3 (en) 1999-10-20

Similar Documents

Publication Publication Date Title
JPH10247650A (ja) 銅線相互接続及び選択cvdアルミニウムプラグを用いた完全平坦化二重ダマシーンメタライゼーション
US4954214A (en) Method for making interconnect structures for VLSI devices
JP4615707B2 (ja) デュアルダマシン金属化方法
US6037258A (en) Method of forming a smooth copper seed layer for a copper damascene structure
US6417094B1 (en) Dual-damascene interconnect structures and methods of fabricating same
US5635423A (en) Simplified dual damascene process for multi-level metallization and interconnection structure
US6323553B1 (en) Reduced temperature contact/via filling
US6720262B2 (en) Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6110819A (en) Interconnect structure using Al2 Cu for an integrated circuit chip
US20040219783A1 (en) Copper dual damascene interconnect technology
US7319071B2 (en) Methods for forming a metallic damascene structure
US20070059925A1 (en) Method of forming metal wiring layer of semiconductor device
US6534865B1 (en) Method of enhanced fill of vias and trenches
JPH1041391A (ja) ブランケット選択的cvdアルミニウム堆積のためのシングルステッププロセス
WO2000019524A9 (en) Ic interconnect structures and methods for making same
US20020167089A1 (en) Copper dual damascene interconnect technology
US6110828A (en) In-situ capped aluminum plug (CAP) process using selective CVD AL for integrated plug/interconnect metallization
US6339029B1 (en) Method to form copper interconnects
US7148140B2 (en) Partial plate anneal plate process for deposition of conductive fill material
US6593657B1 (en) Contact integration article
JPH1041386A (ja) 半導体装置の製造方法
US6903011B2 (en) Displacement method to grow cu overburden
JP3269490B2 (ja) 半導体集積回路装置およびその製造方法
CA1287188C (en) Vlsi devices having interconnect structure formed on layer of seed material
US20030219996A1 (en) Method of forming a sealing layer on a copper pattern

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050301