JPH10223740A - チャック洗浄を用いて基板上の粒子を減少させる方法 - Google Patents

チャック洗浄を用いて基板上の粒子を減少させる方法

Info

Publication number
JPH10223740A
JPH10223740A JP2044698A JP2044698A JPH10223740A JP H10223740 A JPH10223740 A JP H10223740A JP 2044698 A JP2044698 A JP 2044698A JP 2044698 A JP2044698 A JP 2044698A JP H10223740 A JPH10223740 A JP H10223740A
Authority
JP
Japan
Prior art keywords
chuck
substrate
semiconductor substrate
resist
particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2044698A
Other languages
English (en)
Other versions
JP4583515B2 (ja
Inventor
Mark Hyatt W
ダブリュ・マーク・ハイアット
Emerson Motz Karl
カール・エマーソン・モーツ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH10223740A publication Critical patent/JPH10223740A/ja
Application granted granted Critical
Publication of JP4583515B2 publication Critical patent/JP4583515B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/10Cleaning involving contact with liquid with additional treatment of the liquid or of the object being cleaned, e.g. by heat, by electricity or by vibration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Application Of Or Painting With Fluid Materials (AREA)

Abstract

(57)【要約】 【課題】 半導体ウエハのような基板の裏面上の粒子数
を減少させ、リソグラフ処理等の後続処理における精度
および均一性を向上させる方法を提供する。 【解決手段】 レジスト・コータ,現像器,またはその
他の形態のスピン・コータのようなトラック・システム
(30)内のチャック(10)上に、半導体基板を配置
する。従来通りに基板に処理を行い、基板を取り外す。
次に、例えば、EGMEAまたはPGMEAを用い、シ
ステムの供与ノズル(38)を通じて溶剤を供与するこ
とによって、チャックを洗浄する。あるいは、少なくと
も部分的に溶剤(39)で飽和したブラシ(36)また
はスポンジをチャック全体にわたって移動させ、粒子を
除去する。チャックの洗浄は、各ウエハ間、各ウエハ・
ロット間に行うことができ、あるいは、シフトが行われ
る度、チャックに粒子が蓄積して洗浄が必要となる度の
ように、非周期的に行うこともできる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に、半導体
ウエハおよびフラット・パネル・ディスプレイのような
基板上の汚染粒子を減少させる方法に関するものであ
る。
【0002】
【従来の技術】半導体素子を形成する際、基板は、典型
的に、レジスト・コーティングや現像トラック(develop
ing track)を含む、多数の異なる機器によって処理され
る。基板が機器によって処理されるに連れて、機器のチ
ャックからの粒子が基板の裏面に蓄積する可能性があ
る。これらの粒子は、リソグラフィを含む後続の処理工
程、または撮像工程(imaging step)において問題を発生
する可能性がある。リソグラフィにおける焦点の深度は
重要である。基板の裏面の粒子は、真空状態にすると、
基板が焦点の深度を越えて反ってしまう原因となる可能
性がある。
【0003】図1および図2を参照すると、上述の粒子
に関する問題をよりよく理解することができる。図1
は、チャック10の平面図であり、トラック・システム
のような半導体基板処理機器において現在用いられてい
るものの典型である。チャック10は、同心リング12
の形状の複数の隆起部分,真空ポート14,および複数
の窪んだ真空チャネル16を含む。基板(図示せず)
は、チャック上、理想的には中央に配置され、真空ポー
ト14を通じて真空状態に置かれる。区分された真空チ
ャネル18が同心状の真空チャネル16と接続し、単一
の集中真空ポート14によって、真空は隣接する同心リ
ング群12を引き付けることができる。一旦真空が加え
られると、基板は処理される。例えば、基板上にレジス
トを供与し、チャック上で回転させ、基板の前側全体を
被覆する。スピン・サイクルの間、レジストの粒子が発
生し、スピン・トラック・システムのスピナ・カップ(s
pinercup)内の空気流の乱れのために、ウエハ周辺の空
気中に漂い始める。これらの粒子は最終的にチャック上
に堆積し、特に基板をチャックから取り去り次の基板を
チャック上に配置する間に堆積する。
【0004】図2は、図1に示すチャックをレジスト・
コータ(resist coater) に用いた場合の、半導体基板2
0の裏面における典型的な粒子の分布を表す。粒子22
は、分離した粒子に対応し、チャック10を用いた結果
として生じたものではなく、単に基板をチャック上に装
着している間に、結果的に基板の裏面に付着した(land)
粒子である。粒子24は、チャックの外縁に対応し、粒
子26はチャックの内部同心リング12に対応する。
(図2では、チャック10のサイズは、図1に示すもの
よりも小さいことを注記しておく。実際には、基板は、
当該基板を保持するチャックよりもかなり大きい場合も
あり得る。)図2から明らかなように、最も密度が高い
粒子分布は、チャックの隆起領域(すなわち、隆起部分
12のように、基板と直接接触するチャックの部分であ
り、隣接する同心真空チャネル16を分離する部分であ
る)に対応する。これらの領域では、一旦他のチャック
上に装着した基板の反りの激しさのために、粒子密度が
後続の処理において問題の原因となる可能性がある。
【0005】この裏面粒子の問題を解決する従来技術の
試みの1つに、エッジ・クリーン・システム(edge bead
removal system)を用いるものがある。しかしながら、
エッジ・クリーン・システムは、典型的に、チャックに
よって基板上に取り込まれた粒子の除去は行わない。エ
ッジ・クリーン・プロセスでは、典型的に、溶剤を基板
の縁に注ぎ、基板の縁上またはその付近にあるレジスト
を除去する。エッジ・クリーン・プロセスの中には、溶
剤をエッジ付近の基板の裏面にも送り込むものがある。
しかしながら、溶剤を基板の裏面に送り込む場合、チャ
ックと接触する基板の裏面の領域、およびチャック周囲
と隣接する領域は、溶剤に露出することができず、した
がって清浄化されない。
【0006】この問題を解決するための他の従来技術の
試みに、後続の処理工程において、チャックおよび基板
間の接触領域を不釣り合いにする、即ち、ずらすものが
ある。たとえば、レジスト・コータ内のチャックの接触
領域パターンは、ステッパ内のチャックの接触領域パタ
ーンとは異なるように作成する。レジスト・コーティン
グの結果として生じる基板の裏面上の粒子は、したがっ
て、ステッパにおいてチャックの真空チャネル内に入る
ように設計されている。しかしながら、光学検査方法で
さえも、後続の処理において用いられるチャックにとっ
て粒子の位置が「安全な」領域内にあるのか、あるいは
粒子の密度が容認可能であるのかを常に確認することは
できない。
【0007】この粒子問題を解決する更に他の試みに、
粒子が基板の裏面に取り込まれる処理工程に続く処理工
程において、ピン・チャック(pin chuck) を用いるもの
がある。ピン・チャックおよび基板間の表面接触量は減
少するが、ピン・チャックがウエハの裏面上の粒子と接
触する位置がなおもあり得る。時間の経過とともに、粒
子はピン上に蓄積する可能性があり、洗浄が必要とな
る。ピン・チャックの洗浄は、ピン数が多いために困難
である。また、ピンの洗浄は、ピンの平面性にも影響を
及ぼし、致命的な基板の焦点ずれに至る可能性がある。
【0008】基板の裏面上の粒子は、リソグラフィ以外
の、イオン注入やプラズマ・エッチング工程を含む処理
工程において、問題を発生する可能性がある。イオン注
入の場合、基板にドーパントを導入するために用いられ
るイオン・ビームによって、基板が加熱する。この熱は
レジストを網状化(reticulate)し、プラズマ・アッシュ
・レジスト・ストリップ(plasma ash resist strip) の
ような後続の工程の間にレジストを除去するのをほぼ不
可能にする。プラズマ・エッチング・チャンバ内では、
粒子はある基板から別の基板に移転する可能性がある。
結果的に、粒子は機器の外にも転移し、拡散炉のような
汚染に敏感な処理機器に侵入する。更に、基板上の裏面
粒子は、基板表面を横切る温度傾斜のために、非均一な
基板のエッチングを誘発する可能性がある。
【0009】
【発明が解決しようとする課題】したがって、特に、基
板上に液体を供与する場合に、チャックから基板の裏面
に取り込まれる粒子量を減少させることが必要とされて
いる。更に、粒子が基板上に永久的に残留する確率を低
下させることも必要とされており、25以上のマスキン
グ工程を有する複雑度の高い処理フローについて、この
確率低下は特に必要とされている。
【0010】
【課題を解決するための手段】概して言えば、本発明
は、チャック洗浄プロセスを用いて、半導体ウエハまた
はフラット・パネル・ディスプレイのような基板の裏面
の粒子を減少させる方法である。トラック・システム
は、基板を処理するためのチャックを含む。トラック・
システム上で基板を処理する合間に、チャックの表面に
溶剤を加え、チャックの表面に接着している残留物を除
去する。チャック洗浄過程の後、例えば、スピニング(s
pinning)によってチャックを乾燥させ、新しい基板を処
理する。この過程は、レジスト・コーティング・トラッ
ク,レジスト現像トラック,スピン・オン・ガラス・コ
ータ,イオン・インプランタ,ステッパ,およびその他
の撮像システムを含む、異なるタイプの機器において使
用可能である。自動的にチャックを洗浄することによ
り、基板上の欠陥の原因となる粒子の量を減少させ、歩
留まりを高めるのに役立つ。処理は自動化されているの
で、追加のマンパワーに対する要求もない。更に、チャ
ック洗浄過程は、システムのスループットに悪影響を与
えることなく、製造プロセスに統合することができる。
【0011】これらおよびその他の特徴及び利点は、添
付する図3ないし図6と関連付けた以下の詳細な説明か
ら一層明確に理解されよう。なお、図は必ずしも同じ拡
縮率で描かれている訳ではなく、具体的に例示していな
い他の実施例も本発明には可能性があることを指摘する
のは重要である。更に、種々の図において同様の参照番
号を用い、同一の部分または対応する部分を示す場合も
ある。
【0012】
【発明の実施の形態】図3は、基板チャック10を含
む、コーティング・トラック・システム30の断面図の
例示を含む。基板チャック10は、図1に示したものと
同様であり、真空ポート14および真空チャネル16に
沿って、隆起部分12を含む。また、システム30は、
一方側から他方側まで移動可能な供与アーム(dispense
arm)32も有する。供与ノズル34,ブラシ36,およ
びエッジ・クリーン(EBR:edge bead removal )ノ
ズル38が、供与アーム32に取り付けられている。供
与ノズル34によってレジスト材料を基板(図3には示
されていない)上に導入し、基板上にレジスト材料を被
覆することができる。また、システム30は、アイドル
・バス37も含み、このアイドル・バス37は、供与ノ
ズル34の端部またはブラシ36の剛毛の間に残ってい
るあらゆる残留物質を溶解する際に用いる溶剤39を有
する。EBRノズル38は、ケトン,エーテル,アセテ
ート,脂肪族炭化水素(aliphatic hydrocarbon) ,また
はその他の有機溶剤のような溶剤を供与するために用い
られる。
【0013】図4は、コーティング・システム30を用
いる際のプロセス・フロー・チャートを含む。ステップ
60に示すように、基板をチャック10上に位置付け
る。基板は、裏面粒子が製造プロセス上の問題となる、
多数の異なる基板材料の1つとすることができ、単結晶
半導体ウエハ,絶縁物上半導体基板,半導体素子を形成
するために用いられるその他の基板,フラット・パネル
・ディスプレイ,または、例えば、リソグラフィ工程に
おいて、基板の処理の間整合および平面性が重要となる
その他のタイプの基板を含む。基板をチャック上に位置
付けた後、真空状態とし、ステップ62に示すように基
板上にレジスト層を被覆する。コーティングの後、真空
を解除し、ステップ64において基板をチャックから取
り外す。
【0014】本発明の一実施例によれば、ステップ66
においてチャックを洗浄し、チャックの表面上にあり、
ステップ68の結果としてチャック上に次に装着される
基板と接触する可能性のある、あらゆる残留レジストを
除去する。ここでは洗浄プロセスに対するいくつかの処
理パラメータを提示するが、これらのパラメータは限定
を意味する訳ではなく、本発明を実施する際に使用可能
な多くのオプションのいくつかの例示に過ぎない。一実
施例では、洗浄を行う際に、チャック上に溶剤を供与
し、溶剤供与の間または後にチャックを回転させる。い
ずれの溶剤を供与する前にも、真空ポート14を調節
し、真空ポートに正の圧力が加えられるようにして、真
空ポンプに損傷を与える可能性がある、真空ラインへの
溶剤物質のあらゆる逆流の可能性を低下させる。洗浄プ
ロセスの間、好ましくは、EBRノズル38を通じてレ
ジスト溶剤を供与する。通常、ケトン,エーテル,アセ
テート,および脂肪族炭化水素族の溶剤,またはその他
の有機溶剤が、レジスト・コータの用途におけるチャッ
クの洗浄に用いて好適である。一特定実施例では、EG
MEA(エチレン・ゴリコール・モノエチル・エーテル
・アセテート(ethyleneglycol monoethyl ether acetat
e) )またはPGMEA(プロピレン・グリコール・モ
ノメチル・エーテル・アセテート(propylene glycol mo
nomethyl ether acetate) )を供与してチャックの洗浄
を行う。これらは双方共、エッジ・クリーン・プロセス
において一般的に用いられる化学薬品である。あるい
は、エチル・ラクテート(etyle lactate) またはEEP
(2−メトキシ・プロピオナート(2-methoxy propionat
e))を用いることもできる。
【0015】溶剤の供与は、多数の異なる方法で行うこ
とができる。一特定実施例では、溶剤の供与の間毎分約
50ないし300回転の範囲の速度でチャック10を回
転させる。十分な体積の溶剤を供与した後、フローを終
了し、更に高い速度、例えば、毎分1000回転を越え
る速度でチャックを回転させ、次の基板をチャック上に
配置する前に、チャックを乾燥させる。他のオプション
には、従来のパドル現像(paddle development)と同様
の、パドル・フローの使用が含まれる。例えば、十分な
溶剤がチャック上にあり、実際にチャック表面から溢れ
るように、溶剤をチャック10上に流すことも可能であ
る。更に他の代替実施例では、スピン乾燥工程におい
て、チャックが乾燥する可能性を更に高める補助とする
ために乾燥ノズル(図3には示されていない)を用い
る。乾燥ノズルは、フィルタ処理した窒素,空気,また
はその他の比較的不活性なガスを流すことができる。追
加の乾燥を必要とする場合、乾燥ノズルは、高速回転処
理の間に、加熱空気または加熱窒素のような加熱ガスを
チャック10に導入することも可能である。加熱ガス・
フローを用いる場合、レジスト・コートのような温度に
敏感なプロセスにおいてチャックを用いるのであれば、
チャックの温度を監視し、必要に応じて調節すべきであ
ろう。
【0016】洗浄は、チャック10上で各基板を処理す
る合間、または基板の各ロット(1ロットは、13ない
し25枚の基板のいずれでもよい)の間のように、より
周期的に使用することができる。更に、上述のようにE
BRノズル38を用いた場合には容易に溶解されない残
留物質は、例えば、危険ロット(critical lot)が発生す
る度または製造設備においてシフトがある度のように、
非周期的に、ブラシ36を用いて除去することができ
る。ブラシ36は、使用しない場合は、溶剤39に浸け
ておく。ブラシ36を用いることにより、溶融が困難な
残留物質や、基板自体の削られた部分のように、堅い粒
子を有する残留物質をチャックから取り除くのに役立て
るために、実際のブラッシングによる機械的動作を用い
ることができる。
【0017】アイドル・バス37内にブラシ36を有す
ることに加えて、供与ノズル34が溶剤39の上に位置
し、ノズル34が溶剤39から上にある飽和蒸気内に位
置するように、システム30を構成することが好まし
い。EBRノズル38を通じて供与される液体および溶
剤39は、同じ流体とすることができる。
【0018】本発明を多種多様な他のタイプの処理工程
に適用するための多数の異なる実施例が存在する。これ
までの説明はレジスト・コーティング・システムに関連
付けて行ったが、本発明は、スピン・オン・ガラス(S
OG:spin-on glass )のような他の物質や、液体また
はゾル−ゲル溶液として基板上に供与される他の物質の
コーティングにも拡張可能である。SOGのための特定
実施例では、EBRノズル38は、フッ化水素酸や緩衝
酸化物エッチャントのような酸化物溶剤、またはSOG
を有するキャリア溶剤を流すように変更する。他の実施
例では、本発明は、現像トラック・システム(developin
g track system) において用いるために変更することが
できる。これについては、以下で更に述べる。現像トラ
ック・システムでは、EBRノズルは、現像液(develop
er solution)を流し、チャックに接着しているレジスト
材料を更に除去するのに役立てる。
【0019】更に、本発明は、チャックを有する他の機
器にも拡張可能である。これらは、ステッパや投射露光
装置(projection aligner)のようなリソグラフ・システ
ム,スピン・コータ(例えば、基板にSOGを被覆する
際に用いるような),およびプラズマ・エッチング・シ
ステム,またはイオン注入システムさえも含む。リソグ
ラフ・システムの場合、本発明の装置は、当該システム
内でパターンを規定するために用いられる光学系に洗浄
溶剤が達するのを防止するために、多少変更する必要が
ある場合もある。しかしながら、光学系に到達する前に
溶剤の蒸気がシステムから枯渇するようにシステムを変
更し、チャック洗浄部分をシステムの残りから遮蔽し、
溶剤が光学レンズに接近する可能性を低下させることに
より、これらの問題を解決することが可能である。
【0020】ブラシ36は、スポンジ、またはチャック
の表面を擦るのに用いることができるその他の物体と交
換することができる。ブラシ,スポンジ,またはその他
の物体は、EBRノズル38から放出される溶剤あるい
はアイドル・バス37内の溶剤のいずれにも溶解しない
物質で作らなければならない。更に、機械的な洗浄によ
って物質が磨耗するので、ブラシまたはスポンジは、あ
る程度機械的な摩擦には強くなければならない。また、
物質は、損傷やひっかき傷を与えたり、あるいはチャッ
クの形状即ちトポグラフィ(topography)を変化させない
ようなものでなければならない。
【0021】図5は、現像トラック・システムにおいて
用いられるシステム50を含む。チャック10が回転し
て、基板からチャックに移転したあらゆる残留レジスト
を露光する際に、光ファイバ・ケーブル42がチャック
10の表面を走査する。光ファイバ・ケーブル42を用
いた露光に続いて、現像液、通常、ヒドロキシル基を有
する塩基(base)をチャック10上に導入し、露光された
レジストを除去する。光ファイバ・ケーブル42の利点
の1つは、レジスト材料を露光するために用いる特定の
波長に、光ファイバ・ケーブルから放出される光線の周
波数を調整可能であることである。例えば、レジスト材
料がフォトレジストである場合、光ファイバ・ケーブル
内で光が用いられる。紫外線レジストを用いる場合、光
ファイバ・ケーブルは紫外線光線を放出する。光ファイ
バ・システムは、レジスト・コーティング・システムに
も用いることができる。
【0022】更に他の実施例では、図6に示すように、
溢れ露光システム(flood exposingsystem) を用いるこ
とも可能である。レジスト・コーティング・システム5
0は、下側部分512および上側部分514を有するス
ピンナ・カップ(spinner cup) 51を含む。これら2部
分は、フランジ515において互いに固定されている。
上側部分514の最上部付近にリム516がある。レジ
スト・コーティング・システム50は、放射装置52を
含み、リム516においてスピンナ・カップ51に取り
付けられている。放射装置52は、放射源502および
反射器504を含む。放射源502は、レジストを露光
する際に用いられる放射光線の波長と一致するように選
択される。フォトレジストでは、放射源502は電球ま
たは水銀灯と同じぐらい単純なものでも可能である。紫
外線レジストを用いる場合、放射源は紫外線放射源とす
べきである。この特定実施例は、スピンナ・カップ内で
レジストを露光するのに有用である。即ち、スピンナ・
カップ51は、典型的に、テフロンのように、白い半透
明の物質で作られる。放射源520からの放射光線は、
スピンナ・カップ51の壁で反射し、カップの壁の全表
面に反射され、こうしなければレジストが蓄積するスピ
ンナ・カップの部分を露光する。露光に続いて、放射装
置52を除去し、現像用溶剤を備えた供与アームは、チ
ャックに自由にスプレーし、スピンナ・カップ51の壁
からレジストを除去するように位置付けられる。これに
よって、スピンナ・カップ内のレジストの蓄積は、従来
技術の方法に比較して、比較的低く保つことが可能とな
る。
【0023】本発明は、基板の裏面にある粒子を減少さ
せる従来技術の方法に対して多くの利点を有する。ま
ず、チャックは適度に清浄に保たれ、残留する汚染物が
チャックから基板の裏面に移転する可能性を低下させ
る。本発明を実施することにより、特に大きな基板(例
えば、直径が300ミリメートル以上のウエハ)および
臨界寸法が0.25μm以下の基板に対して、リソグラ
フ工程におけるプロセス・マージンの増大、および汚染
の危険性低下が期待される。本発明の実施例の他の利点
は、基板を処理する際に追加のオーバーヘッドを生ずる
ことなく、プロセス・フローに統合されることである。
例えば、チャック洗浄工程は、レジスト層またはSOG
層にソフト・ベーク(soft-bake) を行う際に用いられる
加熱板上に基板がある間に行うことができる。ソフト・
ベーク工程は、通常、コーティングの間に層内にある溶
剤の幾分かを追い出すために行われる。典型的に、ソフ
ト・ベークは、コーティング・トラック・システムに対
するスループットを制限するものである。本発明のチャ
ック洗浄工程は、ソフト・ベークが行われている間に進
められるように統合する。
【0024】本発明の実施例の他の利点は、特殊なまた
は特異な物質の処理方法を使用する必要がないことであ
る。例えば、被覆された物質の溶剤がスピンナ・カップ
に導入されるように、コーティング・トラックを変更す
ることができる。レジストの場合、典型的に、溶剤がい
ずれかの方法でEBRのために用いられる。したがっ
て、追加の配管工事や、現在は未だトラック・システム
に供給されていない新しい化学薬品は必要でない。本発
明の他の利点は、マ−ジン処理工程(marginal processi
ng step)を組み込む必要なく、本発明を実施可能である
ことである。処理は基板間で行われるので、プロセス・
パラメータは、用いる機器に対して最良に作用するよう
に、特定のプロセスに対して個々に決定することができ
る。本発明の実施例は、基板上に誘発される欠陥量を減
らすことにより、歩留まりを向上させるのに役立つであ
ろう。前述の洗浄方法は、個々の基板間、基板のロット
間で、シフト変更時に、またはチャックの洗浄が合理的
に必要となった他の時点において、洗浄過程のいくつか
または全てを行うように実施することができる。洗浄
は、システムによって行われ、人の介入は不要である。
【0025】以上の説明から、本発明によれば、チャッ
クの洗浄を利用し基板から粒子を減少させる方法が提供
され、前述の必要性を完全に満たししかも利点が得られ
ることは明白である。本発明は、その特定実施例を参照
しながら説明しかつ図示したが、本発明はこれらの例示
的実施例に限定されることを意図する訳ではない。本発
明の精神から逸脱することなく、変更や改造が可能であ
ることを、当業者は認めよう。例えば、本発明は、チャ
ックを洗浄するために特に述べた特定の溶剤または化学
薬品に限定される訳ではない。加えて、本発明は、ここ
に記載した半導体素子のための特定のスピン・コーティ
ング・プロセスに限定されるものでもない。例えば、本
発明は、周期的にチャックを洗浄することが有利な、ス
ピン・コーティング・プロセスであればいずれにおいて
も使用可能である。また、本発明は、ここで述べた特定
のコーティング材には決して限定されないことを注記す
るのも重要である。ポリイミドなどを含む他のポリマ
も、本発明によるチャックを用いて被覆することが可能
である。更にまた、本発明は、基板のためのコーティン
グ・プロセスにも限定されない。残留膜または堆積物が
チャック表面上に形成する場合、基板洗浄プロセスも、
本発明から恩恵を得ることができる。したがって、本発
明は、かかる改造や変更の内、特許請求の範囲に該当す
るものを全て含むことを意図するものである。
【図面の簡単な説明】
【図1】レジストまたは現像剤を供与するためのスピン
・トラックに用いられている従来技術のチャックを示す
平面図。
【図2】図1のチャックから移転した汚染物によって生
じた粒子を示す基板の底面図。
【図3】チャック、および本発明の一実施例ににしたが
ってチャックを洗浄するために用いられる機構を含むス
ピン・トラック・システムの一部を示す断面図。
【図4】本発明の実施例によるプロセス・フロー・チャ
ート。
【図5】本発明の他の実施例による光ファイバ・ケーブ
ルを用いるスピン・トラックを示す断面図。
【図6】本発明の他の実施例にしたがって、チャックお
よびスピナ・カップの壁の双方の溢れ露光(flood expos
ure)が放射源に露光される、レジスト・コーティングに
用いられるスピナ・カップの一部を示す断面図。
【符号の説明】
10 基板チャック 12 隆起部分 14 真空ポート 16 真空チャネル 30 コーティング・トラック・システム 32 供与アーム 34 供与ノズル 36 ブラシ 37 アイドル・バス 38 エッジ・クリーン・ノズル 39 溶剤 42 光ファイバ・ケーブル 50 システム 51 スピンナ・カップ 52 放射装置 502 放射源 504 反射器 515 フランジ 516 リム

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】基板上の粒子を減少させる方法であって:
    チャックおよびチャック洗浄素子を有する1台の製造機
    器を用意する段階;第1基板を用意する段階;前記第1
    基板を前記チャック上に位置付ける段階;前記第1基板
    を前記チャック上に位置付けた状態で、前記1台の製造
    機器を用いて、前記第1基板上で製造処理を行う段階;
    前記第1基板を前記チャックから取り外す段階;前記チ
    ャック洗浄素子を用いて前記チャックを洗浄する段階;
    第2基板を用意する段階;および前記第2基板を前記チ
    ャック上に位置付ける段階;から成ることを特徴とする
    方法。
  2. 【請求項2】基板上の粒子を減少させる方法であって:
    表面を有する第1半導体基板を用意する段階;半導体基
    板製造処理とともに用いる基板処理システムであって、
    チャックとチャック・クリーナとを含む前記基板処理シ
    ステムを用意する段階;前記チャック上に前記第1半導
    体基板を位置付け、前記第1半導体基板の前記表面を前
    記チャックと接触させる段階;前記第1半導体基板の前
    記表面を前記チャックと接触させた状態で、前記第1半
    導体基板に前記半導体基板製造処理を行う段階;前記第
    1半導体基板を前記チャックから取り外す段階;前記基
    板処理システムを用いて、前記チャック・クリーナによ
    って前記チャックを洗浄する段階;第2半導体基板を用
    意する段階;および前記第2半導体基板を前記チャック
    上に位置付ける段階;から成ることを特徴とする方法。
  3. 【請求項3】基板上の粒子を減少させる方法であって:
    第1半導体基板を用意する段階;真空ポートを備えたコ
    ータ・チャックを有し、かつコータ・チャック洗浄素子
    を有するレジスト・コータを用意する段階;前記第1半
    導体基板を前記コータ・チャック上に位置付ける段階;
    前記コータ・チャックの真空ポートを通じて、前記第1
    半導体基板を真空にする段階;前記第1半導体基板にレ
    ジスト層を被覆する段階;真空を解除し、前記第1半導
    体基板を前記コータ・チャックから取り外す段階;前記
    コータ・チャック洗浄素子を用いて、前記コータ・チャ
    ックを洗浄する段階;第2半導体基板を用意する段階;
    および前記第2半導体基板を前記コータ・チャック上に
    位置付け、前記第2半導体基板にレジストを被覆する段
    階;から成ることを特徴とする方法。
  4. 【請求項4】基板上の粒子を減少させる方法であって:
    レジスト層が形成された第1半導体基板を用意する段
    階;前記レジスト層の部分を選択的に光線に露光させ、
    前記レジスト層にパターンを形成する段階;真空ポート
    を備えたチャックを有し、かつチャック洗浄素子を有す
    るレジスト現像器を用意する段階;前記第1半導体基板
    を前記チャック上に位置付ける段階;前記チャックの真
    空ポートを通じて、前記第1半導体基板を真空にする段
    階;前記第1半導体基板上の前記レジスト層内のパター
    ンを現像する段階;真空を解除し、前記第1半導体基板
    を前記チャックから取り外す段階;前記チャック洗浄素
    子を用いて、前記チャックを洗浄する段階;第2半導体
    基板を用意する段階;および前記第2半導体基板を前記
    チャック上に位置付け、前記第2半導体基板上のレジス
    トを現像する段階;から成ることを特徴とする方法。
JP2044698A 1997-01-31 1998-01-14 チャック洗浄を用いて基板上の粒子を減少させる方法 Expired - Fee Related JP4583515B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/791,970 US5966635A (en) 1997-01-31 1997-01-31 Method for reducing particles on a substrate using chuck cleaning
US791970 1997-01-31

Publications (2)

Publication Number Publication Date
JPH10223740A true JPH10223740A (ja) 1998-08-21
JP4583515B2 JP4583515B2 (ja) 2010-11-17

Family

ID=25155404

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2044698A Expired - Fee Related JP4583515B2 (ja) 1997-01-31 1998-01-14 チャック洗浄を用いて基板上の粒子を減少させる方法

Country Status (4)

Country Link
US (1) US5966635A (ja)
JP (1) JP4583515B2 (ja)
KR (1) KR100467123B1 (ja)
TW (1) TW412782B (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100695418B1 (ko) * 2004-05-18 2007-03-15 주식회사 하이닉스반도체 웨이퍼 상의 금속성 오염 물질의 포집 및 분석을 위한 방법
US7361234B2 (en) 2003-08-06 2008-04-22 Micron Technology, Inc. Photolithographic stepper and/or scanner machines including cleaning devices and methods of cleaning photolithographic stepper and/or scanner machines
JP2008522434A (ja) * 2004-12-01 2008-06-26 ラム リサーチ コーポレーション 静電チャックの湿式洗浄
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
US7583358B2 (en) 2005-07-25 2009-09-01 Micron Technology, Inc. Systems and methods for retrieving residual liquid during immersion lens photolithography
US8472004B2 (en) 2006-01-18 2013-06-25 Micron Technology, Inc. Immersion photolithography scanner
JP2016043471A (ja) * 2014-08-26 2016-04-04 株式会社荏原製作所 基板処理装置
JP2017069336A (ja) * 2015-09-29 2017-04-06 東京エレクトロン株式会社 基板処理装置、吸着保持部の洗浄方法および記憶媒体
US10201888B2 (en) 2014-08-26 2019-02-12 Ebara Corporation Substrate processing apparatus
JP2021044371A (ja) * 2019-09-11 2021-03-18 株式会社ディスコ 保持面の異物検出方法

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6168672B1 (en) * 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
US6170494B1 (en) * 1999-11-12 2001-01-09 Advanced Micro Devices, Inc. Method for automatically cleaning resist nozzle
US7173648B1 (en) 2000-04-21 2007-02-06 Advanced Micro Devices, Inc. System and method for visually monitoring a semiconductor processing system
US6733594B2 (en) * 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
EP1233441A1 (en) * 2001-02-19 2002-08-21 Infineon Technologies SC300 GmbH & Co. KG Arrangement and a method for reducing contamination with particles on a substrate in a process tool
US6817057B2 (en) * 2001-08-30 2004-11-16 Micron Technology, Inc. Spindle chuck cleaner
JP4086651B2 (ja) * 2002-12-24 2008-05-14 キヤノン株式会社 露光装置及び基板保持装置
KR100829923B1 (ko) * 2006-08-30 2008-05-16 세메스 주식회사 스핀헤드 및 이를 이용하는 기판처리방법
US20080092806A1 (en) * 2006-10-19 2008-04-24 Applied Materials, Inc. Removing residues from substrate processing components
RU2334280C1 (ru) * 2007-04-02 2008-09-20 Дмитрий Анатольевич Беляев Устройство для очистки ложемента шпинделя устройства считывания и/или записи информации на компакт-диски
TWI426579B (zh) * 2010-02-01 2014-02-11 Hermes Epitek Corp 半導體設備及其清潔方法
TWI500103B (zh) * 2010-02-01 2015-09-11 Hermes Epitek Corp 半導體設備
US9421617B2 (en) 2011-06-22 2016-08-23 Tel Nexx, Inc. Substrate holder
US8613474B2 (en) 2011-07-06 2013-12-24 Tel Nexx, Inc. Substrate loader and unloader having a Bernoulli support
US11878935B1 (en) * 2022-12-27 2024-01-23 Canon Kabushiki Kaisha Method of coating a superstrate

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63137448A (ja) * 1986-11-29 1988-06-09 Nec Corp 半導体ウエハ処理装置
JPS6448417A (en) * 1987-08-19 1989-02-22 Nec Corp Resist applicator
JPH02109333A (ja) * 1988-10-18 1990-04-23 Tokyo Electron Ltd 洗浄装置
JPH05259023A (ja) * 1992-03-12 1993-10-08 Fujitsu Ltd 露光装置
JPH0778744A (ja) * 1993-09-07 1995-03-20 Nec Yamagata Ltd ウェーハ搬送・移載装置
JPH0871511A (ja) * 1994-06-28 1996-03-19 Ebara Corp 洗浄方法および装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31053A (en) * 1861-01-01 Island
US4518678A (en) * 1983-12-16 1985-05-21 Advanced Micro Devices, Inc. Selective removal of coating material on a coated substrate
US4788994A (en) * 1986-08-13 1988-12-06 Dainippon Screen Mfg. Co. Wafer holding mechanism
JPS63136528A (ja) * 1986-11-27 1988-06-08 Mitsubishi Electric Corp 処理液塗布装置
JPS63271931A (ja) * 1987-04-28 1988-11-09 Tokyo Electron Ltd 現像装置
US4886728A (en) * 1988-01-06 1989-12-12 Olin Hunt Specialty Products Inc. Use of particular mixtures of ethyl lactate and methyl ethyl ketone to remove undesirable peripheral material (e.g. edge beads) from photoresist-coated substrates
JPH06103687B2 (ja) * 1988-08-12 1994-12-14 大日本スクリーン製造株式会社 回転式表面処理方法および回転式表面処理における処理終点検出方法、ならびに回転式表面処理装置
US5626675A (en) * 1993-11-18 1997-05-06 Tokyo Electron Limited Resist processing apparatus, substrate processing apparatus and method of transferring a processed article

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63137448A (ja) * 1986-11-29 1988-06-09 Nec Corp 半導体ウエハ処理装置
JPS6448417A (en) * 1987-08-19 1989-02-22 Nec Corp Resist applicator
JPH02109333A (ja) * 1988-10-18 1990-04-23 Tokyo Electron Ltd 洗浄装置
JPH05259023A (ja) * 1992-03-12 1993-10-08 Fujitsu Ltd 露光装置
JPH0778744A (ja) * 1993-09-07 1995-03-20 Nec Yamagata Ltd ウェーハ搬送・移載装置
JPH0871511A (ja) * 1994-06-28 1996-03-19 Ebara Corp 洗浄方法および装置

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7361234B2 (en) 2003-08-06 2008-04-22 Micron Technology, Inc. Photolithographic stepper and/or scanner machines including cleaning devices and methods of cleaning photolithographic stepper and/or scanner machines
US7370659B2 (en) * 2003-08-06 2008-05-13 Micron Technology, Inc. Photolithographic stepper and/or scanner machines including cleaning devices and methods of cleaning photolithographic stepper and/or scanner machines
KR100695418B1 (ko) * 2004-05-18 2007-03-15 주식회사 하이닉스반도체 웨이퍼 상의 금속성 오염 물질의 포집 및 분석을 위한 방법
JP2008522434A (ja) * 2004-12-01 2008-06-26 ラム リサーチ コーポレーション 静電チャックの湿式洗浄
US7583358B2 (en) 2005-07-25 2009-09-01 Micron Technology, Inc. Systems and methods for retrieving residual liquid during immersion lens photolithography
US7456928B2 (en) 2005-08-29 2008-11-25 Micron Technology, Inc. Systems and methods for controlling ambient pressure during processing of microfeature workpieces, including during immersion lithography
US8472004B2 (en) 2006-01-18 2013-06-25 Micron Technology, Inc. Immersion photolithography scanner
JP2016043471A (ja) * 2014-08-26 2016-04-04 株式会社荏原製作所 基板処理装置
US10201888B2 (en) 2014-08-26 2019-02-12 Ebara Corporation Substrate processing apparatus
US11731240B2 (en) 2014-08-26 2023-08-22 Ebara Corporation Substrate processing apparatus
JP2017069336A (ja) * 2015-09-29 2017-04-06 東京エレクトロン株式会社 基板処理装置、吸着保持部の洗浄方法および記憶媒体
JP2021044371A (ja) * 2019-09-11 2021-03-18 株式会社ディスコ 保持面の異物検出方法

Also Published As

Publication number Publication date
US5966635A (en) 1999-10-12
KR100467123B1 (ko) 2005-05-24
JP4583515B2 (ja) 2010-11-17
KR19980070958A (ko) 1998-10-26
TW412782B (en) 2000-11-21

Similar Documents

Publication Publication Date Title
JP4583515B2 (ja) チャック洗浄を用いて基板上の粒子を減少させる方法
US10732508B2 (en) Coating and developing method and coating and developing apparatus
US7665916B2 (en) Coater/developer and coating/developing method
US8084194B2 (en) Substrate edge treatment for coater/developer
KR100964772B1 (ko) 포토마스크 블랭크의 제조 방법 및 제조 장치와, 불필요한 막 제거 장치
KR101000944B1 (ko) 처리액 공급 유닛과 이를 이용한 기판 처리 장치 및 방법
TW202238675A (zh) 基板處理裝置及基板處理方法
US5849582A (en) Baking of photoresist on wafers
JPH07240360A (ja) 薬液塗布装置
CN109991820B (zh) 浸润式曝光后移除残留水滴的装置及方法
KR100883808B1 (ko) 듀얼 포토레지스트층을 통한 선택적 이미지화 방법
WO2004036633A1 (ja) 液処理装置
KR100999090B1 (ko) 반도체 제조용 감압건조장치
JP2004310068A (ja) 不要膜除去装置及びマスクブランクスの製造方法
KR100663013B1 (ko) 포토레지스트 도포장치 및 기판 가장자리 포토레지스트제거방법
TW594440B (en) Apparatus and method for developing an LCD
JP2010176079A (ja) プロキシミティ露光装置、プロキシミティ露光装置のマスク保護方法、及び表示用パネル基板の製造方法
JP2008258409A (ja) 昇華物除去装置
JPH04278518A (ja) 半導体装置の製造方法と半導体製造装置
KR20060057107A (ko) 반도체 스피너 장비
JP2011107572A (ja) プロキシミティ露光装置、プロキシミティ露光装置の光学部品保護方法、及び表示用パネル基板の製造方法
KR20050097396A (ko) 포토마스크 제작용 레지스트 현상장치
KR20070069456A (ko) 웨이퍼의 에지 노광장치
JPH01125828A (ja) レジスト現像装置
KR20060039549A (ko) 반도체 제조용 인라인 설비

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050114

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20050114

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20041217

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080219

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080519

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080619

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080624

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080718

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080724

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080819

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20081120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090519

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090818

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090821

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100702

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100810

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100901

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130910

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees