JPH1011482A - 集積回路の消費電力見積り装置 - Google Patents

集積回路の消費電力見積り装置

Info

Publication number
JPH1011482A
JPH1011482A JP8159543A JP15954396A JPH1011482A JP H1011482 A JPH1011482 A JP H1011482A JP 8159543 A JP8159543 A JP 8159543A JP 15954396 A JP15954396 A JP 15954396A JP H1011482 A JPH1011482 A JP H1011482A
Authority
JP
Japan
Prior art keywords
power consumption
megacell
estimating
current
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8159543A
Other languages
English (en)
Other versions
JP3693420B2 (ja
Inventor
Yasutaka Tsukamoto
泰隆 塚本
Hidetaka Minami
英孝 南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP15954396A priority Critical patent/JP3693420B2/ja
Priority to US08/879,696 priority patent/US6094527A/en
Publication of JPH1011482A publication Critical patent/JPH1011482A/ja
Priority to US09/469,754 priority patent/US7343276B1/en
Application granted granted Critical
Publication of JP3693420B2 publication Critical patent/JP3693420B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

(57)【要約】 【課題】 メガセルを含む集積回路の消費電力見積りが
行える集積回路の消費電力見積り装置を提供する。 【解決手段】 シミュレーション手段3と、前記シミュ
レーション手段3による論理シミュレーション結果と、
各基本セルの入出力端子の状態に対して予め基本セルの
消費電流定義データ格納部4に用意されている消費電力
データとに基づいて各基本セルが消費する電力を見積も
る消費電流Ia見積り手段7と、前記シミュレーション
手段3による論理シミュレーション結果と、各メガセル
の入出力端子の状態、機能記述における変数、及び動作
周波数に対して予めメガセルの消費電流定義データ格納
部6に用意されている消費電力データとに基づいて各メ
ガセルが消費する電力を見積もる消費電流Ib見積り手
段8と、両見積り結果を加算して回路全体の消費電力を
見積もる加算手段9とを備えた。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、集積回路の消費電
力見積り装置に関する。
【0002】
【従来の技術】従来より、集積回路を実際に作製する前
の設計の段階で予め当該集積回路が消費するであろう電
力を見積もることが行われている。そして、この種の技
術として、特開平2−136775号公報には、集積回
路の論理シミュレーション結果から各基本セル(例え
ば、AND、OR、NOT等)の出力端子のイベント数
を求め、このイベント数と予め用意された各基本セルの
消費電流情報とから回路全体の消費電流を見積もる消費
電流見積り方法が開示されている。また、特開平4−1
30661号公報には、出力信号電圧の時間的変化と、
消費電力データと、外部設定条件データとに基づいて、
論理回路の所定時間における消費電力を算出する半導体
集積回路の消費電力見積り方法が知られている。
【0003】
【発明が解決しようとする課題】しかしながら、上記い
ずれの従来技術も、前記の基本セルにて構成される回路
を対象とするものであり、メガセルを含む回路に対して
消費電力の見積りを行うことが困難であった。ここで、
メガセルとは、論理シミュレーション時には、ハードウ
ェア記述言語で機能記述されており、基本セルによって
どのように構成されるかが示されていない機能ブロック
をいい、CPU、ROM、或いはRAMなどが含まれ
る。
【0004】この発明は、上記の事情に鑑み、メガセル
を含む集積回路の消費電力見積りが行える集積回路の消
費電力見積り装置を提供することを目的とする。
【0005】
【課題を解決するための手段】本発明の集積回路の消費
電力見積り装置は、上記の課題を解決するために、ハー
ドウェア記述言語を用いて機能記述されているメガセ
ル、及び基本セルにより構成される回路データを論理シ
ミュレーションするシミュレーション手段と、前記シミ
ュレーション手段による論理シミュレーション結果と、
各基本セルの入出力端子の状態に対して予め用意されて
いる消費電力データとに基づいて各基本セルが消費する
電力を見積もる第1消費電力見積り手段と、前記シミュ
レーション手段による論理シミュレーション結果と、各
メガセルの入出力端子の状態、機能記述における変数、
及び動作周波数に対して予め用意されている消費電力デ
ータとに基づいて各メガセルが消費する電力を見積もる
第2消費電力見積り手段と、前記第1消費電力見積り手
段にて見積もられた消費電力値と第2消費電力見積り手
段にて見積もられた消費電力値とを加算して回路全体の
消費電力値を見積もる加算手段と、を備えたことを特徴
とする。
【0006】これによれば、前記シミュレーション手段
による論理シミュレーション結果と、各基本セルの入出
力端子の状態に対して予め用意されている消費電力デー
タとに基づいて各基本セルが消費する電力を見積もるよ
うにしているので、メガセルを含む集積回路の消費電力
見積りを行うことが可能となる。
【0007】また、この発明の集積回路の消費電力見積
り装置は、ハードウェア記述言語を用いて機能記述され
ているメガセル、及び基本セルにより構成される回路デ
ータを論理シミュレーションするシミュレーション手段
と、前記メガセルの命令実行時における消費電力値を記
述したテーブルと、前記論理シミュレーション時に与え
られる前記メガセルへの命令に対応してその消費電力値
を前記テーブルから読み出してメガセルの消費電力値を
見積もるメガセル消費電力見積り手段と、前記メガセル
以外の回路部分である基本セルの消費電力値を見積もる
基本セル消費電力見積り手段と、前記メガセル消費電力
見積り手段にて見積もられた消費電力値と基本セル消費
電力見積り手段にて見積もられた消費電力値とを加算し
て回路全体の消費電力値を見積もる加算手段と、を備え
たことを特徴とする。
【0008】これによれば、前記論理シミュレーション
時に与えられる前記メガセルへの命令に対応してその消
費電力値を前記テーブルから読み出してメガセルの消費
電力値を見積もるようにしているので、メガセルを含む
集積回路の消費電力見積りを行うことが可能となる。
【0009】
【発明の実施の形態】
(実施の形態1)以下、この発明の実施の形態を図に基
づいて説明する。
【0010】図1は、この発明の実施の形態の消費電力
見積り装置を示した概略の機能ブロック図である。ま
た、図2は、当該消費電力見積り装置となるマイクロコ
ンピュータの概略構成を示したブロック図である。この
マイクロコンピュータは、CPU11と、メモリ12
と、ハードディスク13と、ディスプレイ14と、キー
ボード15とを備えて構成される。図2に示されたハー
ドディスク13には、図1における回路接続情報格納部
1、論理シミュレーション用ライブラリ2、各基本セル
の消費電流定義データ格納部4、及び各メガセルの消費
電流定義データ格納部6が設けられている。また、図2
のCPU11とメモリ12に格納されているプログラム
とにより、図1の論理シミュレーション手段3、基本セ
ルの消費電流Ia見積り手段7、及びメガセルの消費電
流Ib見積り手段8、及び加算手段9が構成されるとと
もに、前記メモリ12にてシミュレーション結果格納部
5が構成されている。
【0011】各基本セルの消費電流定義データ格納部4
には、各基本セルの入出力端子の状態に対して予め用意
されている消費電力データが格納されている。また、各
メガセルの消費電流定義データ格納部6には、各メガセ
ルの入出力端子の状態、機能記述における変数、及び動
作周波数に対して予め用意されている消費電力データが
格納されている。
【0012】回路接続情報格納部1には、消費電力の見
積り対象となる回路の接続情報が格納されている。この
回路の接続情報の中には、基本セルに対する接続情報お
よびメガセルに対する接続情報が含まれるとともに、メ
ガセルについてはハードウェア記述言語を用いて機能記
述されている。論理シミュレーション用ライブラリ2に
は、論理シミュレーションに必要な各種情報が格納され
ている。論理シミュレーション手段3は、前記の回路接
続情報格納部1から回路接続情報等を取り込むととも
に、前記論理シミュレーション用ライブラリ2から各種
情報を取り込み、これらの情報に基づいて論理シミュレ
ーションを実行する。そして、この論理シミュレーショ
ンの結果を、シミュレーション結果格納部5に格納す
る。
【0013】基本セルの消費電流Ia見積り手段7は、
前記のシミュレーション結果と、各基本セルの消費電流
定義データ格納部4に格納されているデータとに基づ
き、消費電流見積りの対象となる回路のうち、基本セル
の全体が消費する電流Iaを見積もる。なお、電流Ia
は、n個の基本セルにおける各消費電流をia1 ,ia
2 ,…,ianとすると、Ia=ia1 +ia2 +…+
ianで表される。また、基本セルの電流見積りの方法
としては、従来技術を用いることができる。
【0014】メガセルの消費電流Ib見積り手段8は、
前記のシミュレーション結果と、各メガセルの消費電流
定義データ格納部6に格納されているデータとに基づ
き、消費電流見積りの対象となる回路のうち、メガセル
の全体が消費する電流Ibを見積もる。なお、電流Ib
は、m個のメガセルにおける各消費電流をib1 ,ib
2 ,…,ibmとすると、Ib=ib1 +ib2 +…+
ibmで表される。このメガセルの電流見積りの方法に
ついては、後述する。
【0015】加算手段9は、上記の消費電流IaとIb
とを加算する。これにより、消費電流見積りの対象とな
る回路の全体の消費電流を見積もることができる。
【0016】次に、前記メガセルの消費電流Ib見積り
手段8による消費電流見積りの具体的な説明を行う。
【0017】図3は、メガセルの一例を示した図であ
り、図中のBOXと表記された部分がメガセルである。
このメガセルには、入力端子として、A0,A1,CE
B、WEB、及びCKがあり、出力端子としてD0があ
る。また、このメガセルBOXの消費電流データ(各メ
ガセルの消費電流定義データ格納部6に格納される)の
一例を図4及び図5に示す。
【0018】図4のデータは、セル名、タイプ(同期式
と非同期式の区別)、周波数決定信号、及び状態リスト
の表題について、それぞれ具体的内容を記述したデータ
である。この例では、セル名がRAMであり、タイプは
同期式であり、周波数決定信号はCK(前記メガセルB
OXの入力端子であるCK)であり、状態リストとして
standby、read、及びwriteの3状態が
表記されている。ここで、周波数決定信号は、メガセル
の動作周波数を求めるときに参照される信号である。こ
の信号は、この実施の形態のごとく、メガセルの外部端
子を表してもよいし、或いは、機能記述内の変数として
もよいものである。また、状態リストを用意しているの
は、各状態によって消費電流が異なるからである。
【0019】図5のデータは、前記standby、r
ead、及びwriteの各状態における状態決定条
件、AC電流(成分)、及びDC電流(成分)を示して
いる。状態決定条件は、その状態になるための条件を論
理式で記述したものである。論理式で出てくる信号(C
EBやWEB等)は、この実施の形態のごとく、メガセ
ルの外部端子で表してもよいし、或いは、機能記述内の
変数にて表記してもよいものである。この図5では、例
えば、CEB=1(High)のときにstandby
状態になることが示されている。また、前記AC電流
(成分)とは、メガセルの消費電流のうち、動作周波数
(f)に依存する電流(成分)をいう。この図5では、
AC電流は、動作周波数(f)の一次式で記述している
が、これに限らず他の複雑や式や、或いはテーブルデー
タとして記述されるものであってもよい。また、前記D
C電流(成分)とは、メガセルの消費電流のうち動作周
波数(f)に依存しない電流(成分)をいう。この図5
では、例えば、read状態において、D0の論理値が
“1”(High)のとき、電流Zが流れることを示し
ている。この電流Zの値は、実測値から予め抽出されて
いるものである。
【0020】図6は、前記図3のメガセルBOXにおけ
る各端子の論理値の変化を示したタイミングチャートで
ある。この論理値の変化は、論理シミュレーションの結
果として得られ、シミュレーション結果格納部5から読
み出すことができる。
【0021】図7は、メガセルの消費電流見積り処理内
容を示したフローチャートである。この図7、及び前記
の図6、図5、図4に基づいて、メガセルの消費電流I
b見積り手段8による消費電流見積りの処理内容を説明
する。まず、前記の論理シミュレーション結果から、各
時刻におけるメガセルの状態を調べる。t0 からt1
期間においては、シミュレーション結果である図6にお
いて、CEBが“0”(Low)でWEBが“1”(H
igh)であるから、図5の状態決定条件に基づき、r
ead状態であることが分かる。また、t1 からt2
期間においては、シミュレーション結果である図6にお
いて、CEBが“1”(High)であるから、図5の
状態決定条件に基づき、standby状態であること
が分かる。このように、まず各イベントの状態分けを行
う(ステップ1)。
【0022】次に、各状態における平均動作周波数
(f)を求める。図4により、メガセルBOXは、同期
式で動作周波数を決定する端子はCKであることが分か
る。そして、図6により、readの期間(t0 からt
1 )において、CKは4つのパルスを発生させているこ
とがわかる。平均動作周波数(f)は、下記の第1式に
より、前記パルス数“4”と時間(t1 −t0 )とから
求める(ステップ2)。
【0023】
【数1】 f=4/(t1 −t0 ) ……第1式
【0024】次に、各状態での消費電流のうち、AC電
流およびDC電流を求める。例えば、read状態のA
C電流Iraは、下記の第2式から求める。一方、re
ad状態のDC電流Irdは、下記の第3式から求める
(ステップ3)。
【0025】
【数2】 Ira=X×f ……第2式 Ird=Z(W1+W2)/(t1 −t0 ) ……第3式
【0026】ここで、上記第2式のXは、図5のデータ
として記述されている値である。また、図5において、
read状態のときには端子D0に流れるDC電流はZ
であり、端子D0の論理値が“1”のときのみ流れると
いうことが分かる。従って、期間t0 からt1 での平均
DC電流は、上記第3式にて求めることができる。な
お、第3式中のW1、W2は、図6に示されるD0が
“1”の期間である。
【0027】また、期間t1 からt2 までは、stan
dby状態なので、この期間のDC電流Isdは、下記
の第4式から求める(ステップ3)。
【0028】
【数3】 Isd=S ……第4式
【0029】ここで、上記第4式のSは、図5のデータ
として記述されている値である。
【0030】次に、時刻t0 からt2 までのメガセルB
OXの平均消費電力IA を下記の第5式により求める
(ステップ4)。
【0031】
【数4】 IA =〔Ira(t1 −t0 )+Ird(t1 −t0 )+ Isd(t2 −t1 )〕/(t2 −t0 )……第5式
【0032】以上の消費電流計算は、回路中に含まれて
いる全てのメガセルに対して行う。そして、加算手段9
によって、回路中に含まれている全てのメガセルの合計
消費電流と、回路中に含まれている全ての基本セルの合
計消費電流とを加算することにより、回路全体の消費電
流を求めることができる。
【0033】(実施の形態2)次に、この発明の集積回
路の消費電力見積り装置の他の実施の形態について説明
する。
【0034】図8は、この実施の形態の消費電力見積り
装置の概略構成を示した機能ブロック図である。当該消
費電力見積り装置は、論理シミュレーションを実行する
論理シミュレーション部21と、メガセルの消費電力を
見積もる消費電力解析部22と、回路全体の消費電力見
積り値を算出する回路消費電力加算部23と、見積もっ
た回路全体の消費電力値をディスプレイ表示する消費電
力表示部24と、論理シミュレーションに必要な回路デ
ータ(メガセルについては機能記述データが格納されて
いる)を格納する回路データ格納部30と、論理シミュ
レーションのためのテストパターンを格納するテストパ
ターン格納部31と、メガセルの命令ごとの消費電力値
に関する情報を格納している消費電力情報テーブル32
と、により構成されている。
【0035】そして、前記の消費電力解析部22は、論
理シミュレーションに際してメガセルに与えられる命令
を読み込む命令読み込み部25と、この読み込んだ命令
を記憶する命令記憶部26と、前記命令がシミュレーシ
ョン実行されるときに前記消費電力情報テーブル32か
ら消費電力値を読み出す消費電力テーブル参照部27
と、各メガセルについて得られた消費電力値を加算して
いくメガセル消費電力加算部28と、加算したメガセル
消費電力値を記憶する消費電力記憶部29とを備えて構
成されている。
【0036】図9は、当該消費電力見積り装置の処理手
順を示したフローチャートである。この図9及び前記図
8に基づいて各機能部の処理内容および処理手順の説明
を行う。論理シミュレーション部21は、ハードウェア
記述言語を用いて機能記述されたメガセルおよび当該メ
ガセルに対するテストパターンを読み込み(ステップ1
1)、論理シミュレーションを行う(ステップ12)。
そして、この機能記述されたメガセルが論理シミュレー
ションにおいて、命令をフェッチすると、消費電力解析
部22の命令読み込み部25がその命令を読み込む。
【0037】ここで、消費電力見積りの対象となるメガ
セルには、予めメガセルの機能記述を行った開発者が、
メガセルが命令をフェッチしたことを命令読み込み部2
5に知らせるための関数を記述しておく。この関数につ
いては後述する。
【0038】次に、命令記憶部26が前記命令を記憶す
る。そして、消費電力テーブル参照部27は、消費電力
情報テーブル32を参照する(ステップ14)。消費電
力情報テーブル32は、メガセルの開発者が予め作成し
たものであり、図10に示しているように、メガセルの
命令と、各命令の実行時に消費される消費電力値との対
応関係を表したデータ群から成る。
【0039】消費電力テーブル参照部27は、前記の記
憶された命令に対応する消費電力値を消費電力情報テー
ブル32から読み出す(ステップ15)。そして、メガ
セル消費電力加算部28にて前記テーブルから読み出し
たメガセルの消費電力値を加算し(ステップ16)、消
費電力記憶部29に格納する。
【0040】次に、論理シミュレーションが終了したか
否かを判断し(ステップ17)、終了していないならス
テップ12に進む。一方、終了したなら、即ち、全ての
メガセルについて上記処理を行ったなら、回路消費電力
加算部23にて当該全メガセルの合計消費電力値にメガ
セル以外の合計消費電力値を加算し、回路全体の消費電
力値を得る(ステップ18)。そして、消費出力表示部
24にて前記回路全体の消費電力値をディスプレイ表示
する(ステップ19)。
【0041】図11は、メガセルとしてのCPU或いは
DSPのモデル記述例を示した図である。この図を用い
て上述した処理における命令や関数を具体化して以下に
説明する。CPUやDSPが論理シミュレーション中に
“LDA”命令をフェッチすると、関数$PowerA
nalysisによって、命令読み込み部25が“LD
A”読み込む。そして、命令記憶部6が、“LDA”を
記憶する。関数$PowerAnalysisは、CP
UやDSPが命令をフェッチすると必ず呼び出されるよ
うに記述され、フェッチした命令を命令読み込み部25
に伝える働きをする。消費電力テーブル参照部27は、
“LDA”命令に対して格納されている消費電力値(W
a)を消費電力情報テーブル32から読み出す(図10
参照)。そして、この消費電力値(Wa)が消費電力記
憶部29に格納される。
【0042】同様に、CPUやDSPが論理シミュレー
ション中に“STA”命令をフェッチすると、関数$P
owerAnalysisによって、命令読み込み部2
5が“STA”命令を読み込む。そして、命令記憶部6
には、“STA”が記憶される。消費電力テーブル参照
部27は、“LDA”命令に対して格納されている消費
電力値(Wa)を消費電力情報テーブル32から読み出
す(図10参照)。そして、この消費電力値(Wb)が
消費電力記憶部29に格納される。
【0043】かかる処理は論理シミュレーションが終了
するまで繰り返され、論理シミュレーションが終了する
と、全メガセルの合計消費電力値と、メガセル以外の回
路部分である基本セルの合計消費電力値とが消費電力加
算部23で加算されたて回路全体の消費電力値が見積も
られ、これが消費電力表示部24にて表示される。
【0044】
【発明の効果】以上説明したように、この発明の集積回
路の消費電力見積り装置によれば、メガセルを含む集積
回路の消費電力見積りが行えるという効果を奏する。
【図面の簡単な説明】
【図1】この発明の実施の形態の集積回路の消費電力見
積り装置を示す機能ブロック図である。
【図2】この発明の実施の形態の集積回路の消費電力見
積り装置を成すコンピュータを示すブロック図である。
【図3】この発明の実施の形態のメガセルの一例を示し
た図である。
【図4】図3のメガセルの消費電流データの一例を示す
説明図である。
【図5】図3のメガセルの消費電流データの一例を示す
説明図である。
【図6】図3のメガセルにおける各端子の論理値の変化
を示したタイミングチャートである。
【図7】図1の集積回路の消費電力見積り装置による消
費電流見積り処理内容を示したフローチャートである。
【図8】この発明の他の実施の形態の集積回路の消費電
力見積り装置を示す機能ブロック図である。
【図9】図8の集積回路の消費電力見積り装置の消費電
流見積り処理内容を示したフローチャートである。
【図10】図8の消費電力テーブルの内容を示す説明図
である。
【図11】図8の回路データ格納部におけるメガセルの
機能記述データを示す説明図である。
【符号の説明】
1 回路接続情報格納部 2 論理シミュレーション用ライブラリ 3 論理シミュレーション手段 4 基本セルの消費電流定義データ格納部 5 シミュレーション結果格納部 6 メガセルの消費電流定義データ格納部 7 基本セルの消費電流Ia見積り手段 8 メガセルの消費電流Ib見積り手段 9 加算手段 11 CPU 12 メモリ 13 ハードディスク 14 ディスプレイ 15 キーボード 21 論理シミュレーション部 22 消費電力解析部 23 回路消費電力加算部 24 消費電力表示部 25 命令読み込み部 26 命令記憶部 27 消費電力テーブル参照部 28 メガセル消費電力加算部 29 消費電力記憶部 30 回路データ格納部 31 テストパターン格納部 32 消費電力情報テーブル32

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 ハードウェア記述言語を用いて機能記述
    されているメガセル、及び基本セルにより構成される回
    路データを論理シミュレーションするシミュレーション
    手段と、 前記シミュレーション手段による論理シミュレーション
    結果と、各基本セルの入出力端子の状態に対して予め用
    意されている消費電力データとに基づいて各基本セルが
    消費する電力を見積もる第1消費電力見積り手段と、 前記シミュレーション手段による論理シミュレーション
    結果と、各メガセルの入出力端子の状態、機能記述にお
    ける変数、及び動作周波数に対して予め用意されている
    消費電力データとに基づいて各メガセルが消費する電力
    を見積もる第2消費電力見積り手段と、 前記第1消費電力見積り手段にて見積もられた消費電力
    値と第2消費電力見積り手段にて見積もられた消費電力
    値とを加算して回路全体の消費電力値を見積もる加算手
    段と、 を備えたことを特徴とする集積回路の消費電力見積り装
    置。
  2. 【請求項2】 ハードウェア記述言語を用いて機能記述
    されているメガセル、及び基本セルにより構成される回
    路データを論理シミュレーションするシミュレーション
    手段と、 前記メガセルの命令実行時における消費電力値を記述し
    たテーブルと、 前記論理シミュレーション時に与えられる前記メガセル
    への命令に対応してその消費電力値を前記テーブルから
    読み出してメガセルの消費電力値を見積もるメガセル消
    費電力見積り手段と、 前記メガセル以外の回路部分である基本セルの消費電力
    値を見積もる基本セル消費電力見積り手段と、 前記メガセル消費電力見積り手段にて見積もられた消費
    電力値と基本セル消費電力見積り手段にて見積もられた
    消費電力値とを加算して回路全体の消費電力値を見積も
    る加算手段と、 を備えたことを特徴とする集積回路の消費電力見積り装
    置。
JP15954396A 1996-06-20 1996-06-20 集積回路の消費電力見積り装置 Expired - Lifetime JP3693420B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP15954396A JP3693420B2 (ja) 1996-06-20 1996-06-20 集積回路の消費電力見積り装置
US08/879,696 US6094527A (en) 1996-06-20 1997-06-19 Method and apparatus for estimating IC power consumption
US09/469,754 US7343276B1 (en) 1996-06-20 1999-12-22 Recording media including code for estimating IC power consumption

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP15954396A JP3693420B2 (ja) 1996-06-20 1996-06-20 集積回路の消費電力見積り装置

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2005142603A Division JP3857290B2 (ja) 2005-05-16 2005-05-16 集積回路の消費電力見積り装置

Publications (2)

Publication Number Publication Date
JPH1011482A true JPH1011482A (ja) 1998-01-16
JP3693420B2 JP3693420B2 (ja) 2005-09-07

Family

ID=15696059

Family Applications (1)

Application Number Title Priority Date Filing Date
JP15954396A Expired - Lifetime JP3693420B2 (ja) 1996-06-20 1996-06-20 集積回路の消費電力見積り装置

Country Status (2)

Country Link
US (2) US6094527A (ja)
JP (1) JP3693420B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007037017A1 (ja) * 2005-09-29 2007-04-05 Fujitsu Limited 消費電力解析方法及び消費電力解析装置
US8350620B2 (en) 2009-12-15 2013-01-08 Fujitsu Limited Integrated circuit power consumption calculating apparatus and processing method
US8701061B2 (en) 2010-03-01 2014-04-15 Ricoh Company, Ltd. Semiconductor design support apparatus

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3693420B2 (ja) * 1996-06-20 2005-09-07 株式会社リコー 集積回路の消費電力見積り装置
JP4428489B2 (ja) * 1999-08-23 2010-03-10 パナソニック株式会社 集積回路装置及びそのテスト方法
JP2002197138A (ja) * 2000-12-25 2002-07-12 Sony Corp 設計回路の検証方法
US20040268159A1 (en) * 2003-06-30 2004-12-30 Microsoft Corporation Power profiling
US7580824B1 (en) 2005-12-21 2009-08-25 Altera Corporation Apparatus and methods for modeling power characteristics of electronic circuitry
DE102006010514B4 (de) * 2006-03-07 2008-09-18 Infineon Technologies Ag Elektrische Schaltung und Terminal
US7971082B2 (en) * 2007-01-31 2011-06-28 Freescale Semiconductor, Inc. Method and system for estimating power consumption of integrated circuit design
JP4756002B2 (ja) 2007-02-19 2011-08-24 株式会社リコー 半導体集積回路の設計支援装置、その設計支援方法、その製造方法、プログラム、及び記録媒体
US7715995B2 (en) * 2007-12-14 2010-05-11 International Business Machines Corporation Design structure for measurement of power consumption within an integrated circuit
US20090157334A1 (en) * 2007-12-14 2009-06-18 Kenneth Joseph Goodnow Measurement of power consumption within an integrated circuit
JP5262996B2 (ja) * 2009-05-26 2013-08-14 富士通セミコンダクター株式会社 論理シミュレーション装置、方法、及びプログラム
CN101901490B (zh) * 2010-07-15 2011-12-07 北京信息科技大学 生成信号调理电路图像的系统
US8677157B1 (en) * 2010-12-30 2014-03-18 Symantec Corporation Systems and methods for detecting power consumption of a computing device
US10591968B2 (en) 2013-09-30 2020-03-17 Hewlett Packard Enterprise Development Lp Selectively-enabling battery back-up power based on a power demand
KR102257823B1 (ko) * 2014-05-20 2021-05-28 삼성전자 주식회사 연산 장치의 동작 제어 방법 및 장치

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02136775A (ja) * 1988-11-17 1990-05-25 Mitsubishi Electric Corp 画像追尾装置
US5553002A (en) * 1990-04-06 1996-09-03 Lsi Logic Corporation Method and system for creating and validating low level description of electronic design from higher level, behavior-oriented description, using milestone matrix incorporated into user-interface
US5557531A (en) * 1990-04-06 1996-09-17 Lsi Logic Corporation Method and system for creating and validating low level structural description of electronic design from higher level, behavior-oriented description, including estimating power dissipation of physical implementation
JPH04130661A (ja) * 1990-09-20 1992-05-01 Fujitsu Ltd 半導体集積回路の消費電力見積り方法
JPH0573634A (ja) 1990-10-05 1993-03-26 Nec Corp 消費電力計算手法
JPH05165912A (ja) 1991-12-13 1993-07-02 Mitsubishi Electric Corp 半導体集積回路の消費電力計算装置
US5359535A (en) * 1992-05-04 1994-10-25 Motorola, Inc. Method for optimization of digital circuit delays
JPH0660139A (ja) * 1992-08-05 1994-03-04 Mitsubishi Electric Corp Mosトランジスタの論理機能ブロックの消費電力計算装置
JPH06317616A (ja) 1993-05-06 1994-11-15 Matsushita Electric Ind Co Ltd ディジタル回路の消費電力見積り方法
US5481469A (en) * 1993-09-13 1996-01-02 Vlsi Technology, Inc. Automatic power vector generation for sequential circuits
US5521834A (en) * 1993-11-30 1996-05-28 At&T Global Information Solutions Company Method and apparatus for calculating dynamic power dissipation in CMOS integrated circuits
US5535370A (en) * 1993-12-22 1996-07-09 Intel Corporation Method and apparatus for realistic current and power calculation using simulation with realistic test vectors
JPH0844788A (ja) 1994-05-24 1996-02-16 Toshiba Corp 集積回路の消費電力算出方法及びその装置
US5493508A (en) * 1994-06-01 1996-02-20 Lsi Logic Corporation Specification and design of complex digital systems
US5625803A (en) * 1994-12-14 1997-04-29 Vlsi Technology, Inc. Slew rate based power usage simulation and method
US5740407A (en) * 1995-07-05 1998-04-14 Motorola, Inc. Method of generating power vectors for circuit power dissipation simulation having both combinational and sequential logic circuits
US5867397A (en) * 1996-02-20 1999-02-02 John R. Koza Method and apparatus for automated design of complex structures using genetic programming
US5835380A (en) * 1996-06-11 1998-11-10 Lsi Logic Corporation Simulation based extractor of expected waveforms for gate-level power analysis tool
JP3693420B2 (ja) * 1996-06-20 2005-09-07 株式会社リコー 集積回路の消費電力見積り装置
US5943487A (en) * 1996-07-12 1999-08-24 Intel Corporation Method for extracting a resistor network from an integrated circuit polygon layout
US5889685A (en) * 1996-08-02 1999-03-30 Cirrus Logic, Inc. Method and apparatus for automatically characterizing short circuit current and power consumption in a digital circuit

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007037017A1 (ja) * 2005-09-29 2007-04-05 Fujitsu Limited 消費電力解析方法及び消費電力解析装置
JPWO2007037017A1 (ja) * 2005-09-29 2009-04-02 富士通株式会社 消費電力解析方法及び消費電力解析装置
US7900172B2 (en) 2005-09-29 2011-03-01 Fujitsu Limited Method and apparatus for analyzing power consumption
JP4667466B2 (ja) * 2005-09-29 2011-04-13 富士通株式会社 消費電力解析方法及び消費電力解析装置
US8350620B2 (en) 2009-12-15 2013-01-08 Fujitsu Limited Integrated circuit power consumption calculating apparatus and processing method
US8701061B2 (en) 2010-03-01 2014-04-15 Ricoh Company, Ltd. Semiconductor design support apparatus

Also Published As

Publication number Publication date
US7343276B1 (en) 2008-03-11
JP3693420B2 (ja) 2005-09-07
US6094527A (en) 2000-07-25

Similar Documents

Publication Publication Date Title
JPH1011482A (ja) 集積回路の消費電力見積り装置
US5535370A (en) Method and apparatus for realistic current and power calculation using simulation with realistic test vectors
US5835380A (en) Simulation based extractor of expected waveforms for gate-level power analysis tool
US5768145A (en) Parametrized waveform processor for gate-level power analysis tool
US8266569B2 (en) Identification of critical enables using MEA and WAA metrics
US8522183B1 (en) Static timing analysis adjustments for aging effects
US7424633B2 (en) Method for estimating power consumption of a CPU
US7260809B2 (en) Power estimation employing cycle-accurate functional descriptions
Bonamy et al. Power consumption model for partial and dynamic reconfiguration
JP2002164434A (ja) 不要輻射解析方法および不要輻射解析装置
JP2008250721A (ja) モデル生成方法及びモデル生成装置
JP2011248843A (ja) クロックジッター解析方法、該クロックジッター解析方法を実行する装置、該クロックジッター解析方法をコンピュータに実行させるためのプログラム、及び該プログラムを記録したコンピュータ読み取り可能な記録媒体
US7073141B2 (en) Device, system and method for VLSI design analysis
US6457167B1 (en) Gated clock design supporting method, gated clock design supporting apparatus, and computer readable memory storing gated clock design supporting program
JP3857290B2 (ja) 集積回路の消費電力見積り装置
Lemma et al. Power intent from initial ESL prototypes: Extracting power management parameters
US7102357B2 (en) Determination of worst case voltage in a power supply loop
JP2009271653A (ja) 消費電力見積方法、回路設計支援装置及びプログラム
US7640152B2 (en) Accurate pin-based memory power model using arc-based characterization
JP5233786B2 (ja) 見積もり支援プログラム、見積もり支援装置および見積もり支援方法
Hashimoto et al. Proactive Supply Noise Mitigation and Design Methodology for Robust VLSI Power Distribution
JP4028292B2 (ja) 半導体集積回路のシミュレーション装置
JP2817455B2 (ja) タイミング検証システム
JPH10254914A (ja) 論理シミュレーション結果解析装置
JP2001167141A (ja) 故障シミュレータおよび故障シミュレーション方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20041101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20041109

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050111

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050516

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20050523

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20050621

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20050621

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080701

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090701

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100701

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110701

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120701

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120701

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130701

Year of fee payment: 8

EXPY Cancellation because of completion of term