JP5262996B2 - 論理シミュレーション装置、方法、及びプログラム - Google Patents

論理シミュレーション装置、方法、及びプログラム Download PDF

Info

Publication number
JP5262996B2
JP5262996B2 JP2009126783A JP2009126783A JP5262996B2 JP 5262996 B2 JP5262996 B2 JP 5262996B2 JP 2009126783 A JP2009126783 A JP 2009126783A JP 2009126783 A JP2009126783 A JP 2009126783A JP 5262996 B2 JP5262996 B2 JP 5262996B2
Authority
JP
Japan
Prior art keywords
logic
circuit
data
model
basic elements
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2009126783A
Other languages
English (en)
Other versions
JP2010277179A (ja
Inventor
直人 小杉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2009126783A priority Critical patent/JP5262996B2/ja
Priority to US12/786,558 priority patent/US20100305934A1/en
Publication of JP2010277179A publication Critical patent/JP2010277179A/ja
Application granted granted Critical
Publication of JP5262996B2 publication Critical patent/JP5262996B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Storage Device Security (AREA)

Description

本発明は、一般にデジタルデータ処理に関し、詳しくは計算機利用設計に関する。
近年、LSIの大規模化に伴い、IPコア(IPコア:Intellectual Property Core)単位でのLSI設計が多く行われている。IPコアは単にIPとも呼ばれ、LSIを構成する部分的な回路ブロックであり機能的にひとまとまりをなす部分の回路情報のことである。IPの利用は自社で開発したIPに限られるものではなく、他社が設計したIPを購入することにより、短期間・低コストでLSIを設計することが可能となる。IP設計を受託した場合や回路評価を行なう必要性がある場合等に、IPはIP開発元だけでなく顧客や第三者にも流通する。従って、回路内部情報を秘匿化して内部解析できないようにすることが、IP開発元の特許・技術ノウハウの漏洩防止の観点から重要である。
近年、携帯機器の高機能化などに伴い、LSIの消費電流に対する要求が高まっており、LSI設計時に、設計者が想定する様々な動作モードに応じた消費電流解析を行うことが多くなっている。またIP設計を受託した場合や回路評価を行なう必要性がある場合等に、IP開発元の設計者だけでなく、顧客や第三者の設計者も、消費電流解析を必要とする場合もある。
回路の動作モードに応じた詳細な消費電流解析を実施するには、消費電流測定のためのテストパターン及びIPの論理回路を論理シミュレーション装置に読み込み、IP内部の基本素子レベルでの信号状態の変化を調べる必要がある。このようなIP内部の基本素子レベルでの信号状態の変化に基づいて各基本素子での消費電流量を求め、全基本素子での消費電流量の総計を求めることにより、回路の様々な動作モードに応じたIP全体での消費電流量を知ることができる。しかしながら、顧客や第三者側でIP内部の基本素子レベルでの信号状態の変化を知るためには、IP内部の基本素子レベルの詳細な論理回路情報や基本素子情報を顧客や第三者に開示することが必要になり、これは秘密情報の漏洩防止の観点から好ましくない。従ってこれまでは、IP開発元が詳細な消費電流解析をすることはできても、顧客や第三者が、想定する様々な動作モードに応じた消費電流解析を行なうことは困難であった。
IPは暗号化可能であり、暗号化されたIPを顧客や第三者に提供し、顧客や第三者側では暗号化されたIPを論理シミュレーション装置で動作させることができる。しかしながら暗号化されたIPでは、論理シミュレーションによりIP内部の基本素子レベルでの信号状態の変化を知ることはできない。結局は、論理シミュレーションと消費電流解析とが互いに分離した処理であり、しかも消費電流解析を行なうためには詳細な各基本素子に関する情報が必要であることが問題となっている。即ち、論理シミュレーションの処理結果として、消費電流解析処理へ渡す詳細な各基本素子に関する情報を出力してしまうと、顧客や第三者がその情報にアクセスできてしまうことが問題となる。
特開平9−282346号公報
以上を鑑みると、詳細な各基本素子に関する情報を論理シミュレーションの処理結果として出力することなく、回路の動作モードに応じた消費電流解析を可能とする論理シミュレーション装置、方法、及びプログラムが望まれる。
ネットリストに埋め込む基本素子の動作モデルとして所定のハードウェア記述言語により記述され、前記ネットリストの回路に対する計算機による論理シミュレーションにおいて前記基本素子として動作する暗号化されたプログラムは、前記基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部とを含むことを特徴とする。
ネットリストとして複数の基本素子と相互接続とが規定された回路ブロックの動作モデルとして所定のハードウェア記述言語により記述され、計算機による論理シミュレーションにおいて前記回路ブロックとして動作する暗号化されたプログラムは、前記複数の基本素子の各々の論理動作を規定する論理動作部と、前記複数の基本素子の各々の入出力端子における信号レベルの変化を検出する変化検出部と、前記複数の基本素子の各々に対して前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部と、前記複数の基本素子について前記消費電流データの総和を求める総和計算部と
を含むことを特徴とするプログラム。
論理シミュレーション方法は、基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部とを含み、前記基本素子の動作モデルとして所定のハードウェア記述言語により記述された複数の基本素子を読み込み、回路のネットリストを読み込み、前記ネットリストと前記複数の基本素子とに基づいて前記回路の論理モデルを構築し、前記論理モデルを用いて前記回路の論理シミュレーションを実行し、前記論理シミュレーションの結果として前記消費電流データに応じた出力データを出力する各段階を計算機により実行する。
論理シミュレーション装置は、演算ユニットと、論理シミュレーションのプログラムを格納するメモリとを含み、前記演算ユニットにより前記論理シミュレーションのプログラムを実行することにより、基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部とを含み、前記基本素子の動作モデルとして所定のハードウェア記述言語により記述された複数の基本素子を読み込み、回路のネットリストを読み込み、前記ネットリストと前記複数の基本素子とに基づいて前記回路の論理モデルを構築し、前記論理モデルを用いて前記回路の論理シミュレーションを実行し、前記論理シミュレーションの結果として前記消費電流データに応じた出力データを出力することを特徴とする。
本願開示の少なくとも1つの実施例によれば、検証対象回路の基本素子の各々について、入出力端子における信号レベルの変化の組み合わせに応じた位置のデータが読み出されるデータ格納部を設ける。従って、このデータ格納部から消費電流データを読み出すようにすることにより、詳細な各基本素子に関する情報を論理シミュレーションの処理結果として出力することなく、回路の動作モードに応じた消費電流解析が可能となる。
ネットリストに埋め込む基本素子の動作モデルの構成の一例を示す図である。 図1の基本素子の動作及び消費電流値の一例を示す図である。 基本素子のモデルのより具体的な例を示す図である。 図3の基本素子の動作及び消費電流値の一例を示す図である。 図3の基本素子の変形例を示す図である。 図5の基本素子の動作及び消費電流値の一例を示す図である。 論理シミュレーションを実行する論理シミュレーション装置の構成の一例を示す図である。 図5の基本素子に対して論理シミュレーションを実行する論理シミュレーション装置の構成の一例を示す図である。 論理シミュレーション方法の処理手順の一例を示す図である。 論理シミュレーション装置により論理シミュレーションを実行する構成の変形例を示す図である。 論理シミュレーション方法の処理手順の変形例を示す図である。 論理シミュレーション方法の処理手順の更なる変形例を示す図である。 論理シミュレーション方法を実行する装置の構成を示す図である。
以下に、本発明の実施例を添付の図面を用いて詳細に説明する。
図1は、ネットリストに埋め込む基本素子の動作モデルの構成の一例を示す図である。図1に示す基本素子10は、ネットリストに埋め込む基本素子の動作モデルとして所定のハードウェア記述言語により記述され、ネットリストの回路に対する計算機による論理シミュレーションにおいて基本素子として動作するモデルである。なお基本素子とは、論理回路内の基本セル(例えばANDセル、ORセル、フリップフロップセル)のことである。基本素子10は、verilogシミュレータではライブラリとして用意される。
基本素子10は、論理動作部11、変化検出部12、及びデータ格納部13を含む。論理動作部11は、基本素子の論理動作を規定する。例えば図1の例では、基本素子はAND回路であり、2つの入力端子p1及びp2のAND論理により出力端子p3が定まることを論理動作部11において規定する。変化検出部12は、基本素子の入出力端子における信号レベルの変化を検出する。変化検出部12は、2入力及び1出力のそれぞれに対応する動作検出機構14乃至16を含む。データ格納部13においては、信号レベルの変化の組み合わせに応じた位置のデータが読み出される。データ格納部13は、データ配列17と選択機構18とを含む。具体的には、データ配列17に消費電流値のデータを格納してよい。
図2は、図1の基本素子の動作及び消費電流値の一例を示す図である。図1の論理動作部11が示すAND回路の入力端子p1及びp2に図2に示すような信号波形が印加されると、出力端子p3には図示のような信号波形が現れる。図1の動作検出機構14は、入力端子p2の信号波形の立上がり及び立下がりの変化をそれぞれ別個に検出する。動作検出機構15は、入力端子p1の信号波形の立上がり及び立下がりの変化をそれぞれ別個に検出する。また動作検出機構16は、出力端子p3の信号波形の立上がり及び立下がりの変化をそれぞれ別個に検出する。図2には、入出力端子p1乃至p3に対する立上がり及び立下がりの検出結果が示されている。
図1の選択機構18は、動作検出機構14乃至16により検出された信号変化に応じてデータ配列17に格納される1つのデータを選択して読み出す。即ち、入出力端子p1乃至p3の立上がり及び立下がりの信号変化の組み合わせにより指定されるデータ配列17の1つのデータを選択して読み出す。例えば、「p3立上がり、p3立下がり、p2立上がり、p2立下がり、p1立上がり、p1立下がり」の6つの事象について、検出に値1、非検出に値0を割り当てることにより、6ビットのビットパターン「b6,b5,b4,b3,b2,b1」が得られる。この6ビットのビットパターンを、データ配列17の読み出しアドレス(配列番号又は添字)を示すものとして扱い、ビットパターンが示す位置のデータ配列17の格納値を読み出せばよい。こうして読み出されたデータ、即ち消費電流値が図2の一番下に示されている。
図3は、基本素子のモデルのより具体的な例を示す図である。図3の基本素子20は、論理動作部21、変化検出部22、及びデータ格納部23を含む。論理動作部21、変化検出部22、及びデータ格納部23の機能及び動作は、図1に示す論理動作部11、変化検出部12、及びデータ格納部13の機能及び動作と基本的に同一である。但し基本素子20においては、デジタル計算機における実現を考慮して、サンプリングクロック信号CLKに同期して信号変化の検出が行なわれる構成となっている。また基本素子20においては、単一の電流値ではなく、リーク電流、貫通電流、及び充放電電流の3種類に対応する3つの電流値を出力する構成となっている。
変化検出部22において、フリップフロップ24−1、AND回路24−2、及びAND回路24−3が、入力端子p2における信号の変化を検出する動作検出機構として機能する。またフリップフロップ25−1、AND回路25−2、及びAND回路25−3が、入力端子p2における信号の変化を検出する動作検出機構として機能する。また更にフリップフロップ26−1、AND回路26−2、及びAND回路26−3が、出力端子p3における信号の変化を検出する動作検出機構として機能する。なおAND回路24−2,24−3,25−2,25−3,26−2,26−3の各々は、一方の入力が負論理であり他方の入力が正論理となっている。これら動作検出機構では、フリップフロップにより1クロックサイクル前の信号レベルを保持しておき、AND回路により1つ前のクロックサイクルと現在のクロックサイクルとの間で信号レベルに変化があるか否かを検出している。
データ格納部23は、リーク電流値レジスタ27−1、貫通電流値データ配列27−2、及び充放電電流値データ配列27−3を含む。リーク電流値レジスタ27−1は、論理動作部11の示すAND回路で常時流れ続けるリーク電流の電流値を格納するためのレジスタである。リーク電流は信号レベル変化に関わらず常時流れ続けるものであり、信号レベルの変化に依存しない固定値を格納するレジスタがあればよい。貫通電流値データ配列27−2は、信号レベルの切り替え時にCMOS回路の電源電圧側からグランド電圧側に瞬間的に流れる貫通電流の電流値を格納するためのデータ配列である。貫通電流の電流値は、信号レベルの変化の仕方に依存するので、信号レベルの変化の組み合わせに対応した電流値を格納するデータ配列ISCが用いられる。充放電電流値データ配列27−3は、信号レベルがHIGHからLOWになるときの配線からグランドへの放電電流と信号レベルがLOWからHIGHになるときの電源から配線への充電電流との電流値を格納するためのデータ配列である。充放電電流の電流値は、信号レベルの変化の仕方に依存するので、信号レベルの変化の組み合わせに対応した電流値を格納するデータ配列Iが用いられる。図3の構成例では、リーク電流の電流値、貫通電流の電流値、及び充放電電流の電流値をそれぞれ別個に出力する形式となっている。
図4は、図3の基本素子の動作及び消費電流値の一例を示す図である。図3の論理動作部21が示すAND回路の入力端子p1及びp2に図4に示すような信号波形が印加されると、出力端子p3には図示のような信号波形が現れる。入出力端子p1乃至p3の信号波形の立上がり及び立下がりの変化を、サンプリングクロック信号CLKの立上がりエッジに同期して、それぞれ別個に検出する。図4には、入出力端子p1乃至p3に対する立上がり及び立下がりのクロック信号CLKに同期した検出結果が示されている。
クロックサイクルn,n+2,n+5では、「p3立上がり、p3立下がり、p2立上がり、p2立下がり、p1立上がり、p1立下がり」が[0,0,0,0,0,0]であるので、貫通電流ISC[000000]及び充放電電流I[000000]が出力される。また例えばクロックサイクルn+1では、「p3立上がり、p3立下がり、p2立上がり、p2立下がり、p1立上がり、p1立下がり」が[0,0,0,0,1,0]であるので、貫通電流ISC[000010]及び充放電電流I[000010]が出力される。他のクロックサイクルについても同様である。なおリーク電流については、リーク電流値レジスタ27−1の格納値ILKが常時出力される。
図5は、図3の基本素子20の変形例を示す図である。図5において図3と同一の構成要素は同一の番号で参照し、その説明は省略する。図5に示す基本素子20Aは、図3に示す基本素子20と比較して、計算部31が設けられている点が異なる。計算部31は加算器32を含む。加算器32は、データ格納部23から読み出されるリーク電流の電流値、貫通電流の電流値、及び充放電電流の電流値を加算して、これら3つの電流値の総和を求める。基本素子20Aからは、総和の電流値1つのみが出力データとして出力される。
図6は、図5の基本素子の動作及び消費電流値の一例を示す図である。図4の場合と同様に、各クロックサイクルにおいて「p3立上がり、p3立下がり、p2立上がり、p2立下がり、p1立上がり、p1立下がり」の検出結果に応じた貫通電流ISC及び充放電電流Iが得られる。またリーク電流については固定値ILKが得られる。図6の場合には、これら貫通電流ISC、充放電電流I、及びリーク電流ILKを加算した総和が、消費電流値として出力される。
図7は、論理シミュレーションを実行する論理シミュレーション装置の構成の一例を示す図である。図7において、図3と同一の構成要素は同一の番号で参照し、その説明は省略する。図7の論理シミュレーション装置は、演算ユニットと論理シミュレーションのプログラムを格納するメモリとを有するコンピュータにおいて、演算ユニットにより論理シミュレーションのプログラムを実行することにより実現される。
論理シミュレーション装置は、テストベンチ40及び検証対象回路41を含む。検証対象回路41は、検証対象の回路の論理モデルである。論理モデルとは、検証対象回路のネットリスト及び基本素子等のシミュレーション実行に必要な情報を統合して、検証対象回路の論理動作を実行可能な状態にしたものである。テストベンチ40は、検証対象回路41の論理シミュレーションを開始、制御、停止させるために必要な記述を記載したものである。論理シミュレーション装置は、例えばVerilogシミュレータであってよい。論理シミュレーション装置は、例えばSPICEシミュレータ等の回路シミュレータと異なり、アナログ的な動作シミュレーションは実行せずに論理動作に関わる部分のみを検証するために使用される。
検証対象回路41は、基本素子20−1乃至20−4を含み、回路のネットリストの情報に従って複数の基本素子が接続されることにより構成される回路である。図示の都合上、図7の例では4つの基本素子20−1乃至20−4のみが示されるが、4つ以上の多数の基本素子が含まれてよい。複数の基本素子20−1乃至20−4の各々は、図3の基本素子20に相当し、論理動作部21、変化検出部22、及びデータ格納部23を含む。論理動作部21は、基本素子の論理動作を規定する。変化検出部22は、基本素子の入出力端子における信号レベルの変化を検出する。データ格納部23においては、信号レベルの変化の組み合わせに応じた位置のデータが読み出される。
論理シミュレーション装置は、論理モデルである検証対象回路41を用いて回路の論理シミュレーションを実行する。その際、まず検証対象回路41の各基本素子のデータ格納部23に入力消費電流データ42を格納する。入力消費電流データ42は、検証対象回路のネットリストと配線の抵抗・容量の情報とに基づいて、予め回路シミュレータや机上計算により求めた各基本素子毎の消費電流値のデータである。この消費電流値は、貫通電流値、充放電電流値、及びリーク電流値を含み、貫通電流値及び充放電電流値については、各基本素子に対してその入出力端子の信号レベルの変化に応じた複数の値が規定される。各基本素子20−1乃至20−4は、単独の基本素子の形でライブラリ等として提供されている状態では、そのデータ格納部23には具体的な電流値は格納されていない。ネットリストに従って回路中の所定の箇所に基本素子が配置された後に、その箇所の配線条件等に応じた入力消費電流データ42が、データ格納部23に格納される。
入力消費電流データ42の格納後、テストベンチ40を介して、検証対象回路41の論理動作を開始させ、テストパターン43を回路入力データとして検証対象回路41に与える。サンプリングクロック信号CLKに同期した検証対象回路41の論理動作により、入力データが処理されて回路出力としての論理データが生成され、この論理データが回路動作論理出力データ44として出力される。また論理シミュレーションの処理結果として更に、データ格納部23から読み出されたデータに応じた出力消費電流データ45が出力される。この出力消費電流データ45は、図7の例の場合、各基本素子20−1乃至20−4から出力される貫通電流値、充放電電流値、及びリーク電流値である。即ち、図4の最下段に示されるISC、I、及びILKに相当する電流値データが、各基本素子20−1乃至20−4に対して出力される。
図8は、図5の基本素子に対して論理シミュレーションを実行する論理シミュレーション装置の構成の一例を示す図である。図8において、図5及び図7と同一の構成要素は同一の番号で参照し、その説明は省略する。図8の論理シミュレーション装置においては、図7の場合の検証対象回路41の代りに、検証対象回路41Aを論理モデルとして用いて論理シミュレーションを実行する。検証対象回路41Aは、基本素子20−1A乃至20−4Aを含む。複数の基本素子20−1A乃至20−4Aの各々は、図5の基本素子20Aに相当し、論理動作部21、変化検出部22、データ格納部23、及び計算部31を含む。図8の構成の場合、出力消費電流データ45Aは、貫通電流値、充放電電流値、及びリーク電流値を総和した電流値が、各基本素子20−1A乃至20−4Aの各々から出力されたものである。即ち、図6の最下段に示される消費電流値に相当する電流値データが、各基本素子20−1A乃至20−4Aに対して出力される。
図9は、論理シミュレーション方法の処理手順の一例を示す図である。まずステップS1で、抵抗・容量情報51と論理情報52とに基づいて、回路シミュレータや机上計算により各基本素子毎の消費電流値のデータである入力消費電流データ53を求める。ここで抵抗・容量情報51は、検証対象の回路を配置・配線したデータより抽出される。また論理情報52は、検証対象の回路のネットリスト等である。入力消費電流データ53は、図7及び図8の入力消費電流データ42に相当する。
次にステップS2で、論理情報52と基本素子データ54とに基づいて、テストベンチ55を用いて論理モデルを構築する。基本素子データ54は、種々の基本素子(ANDセル、ORセル、インバータ、NANDセル、フリップフロップ等)の各々について、少なくとも前述の論理動作部、変化検出部、及びデータ格納部を記述したデータである。ステップS3で、入力消費電流データ53を論理シミュレーション装置に読み込む。即ち、ステップS2で構築した論理モデルの各基本素子のデータ格納部に、入力消費電流データ53の対応する消費電流データを格納する。更にステップS4で、ステップS2で構築した論理モデルを用いて検証対象回路の論理シミュレーションを実行する。即ち、テストパターン56を入力データとして論理モデルに印加し、クロック信号に同期して論理モデルを動作させることにより、検証対象回路の論理動作をシミュレートする。この論理シミュレーションの結果、出力消費電流データ57及び回路動作論理出力データ58が出力される。出力消費電流データ57及び回路動作論理出力データ58は、図7及び図8の出力消費電流データ45(又は45A)及び回路動作論理出力データ44にそれぞれ相当する。
図9に示す論理シミュレーション方法では、論理シミュレーションを実行するだけで、各基本素子で消費する消費電流データを得ることができる。従来は、論理シミュレーションを実行した後に、論理シミュレーションで得られた各基本素子の動作データに基づいて、ユーザが入力消費電流データ53を各基本素子の動作データに当てはめて消費電流解析を行なっていた。図9に示す論理シミュレーション方法では、検証対象回路の論理シミュレーションを実行するだけで、論理シミュレーションの処理結果として、論理出力データとともに消費電流データが得られる。
図10は、論理シミュレーション装置により論理シミュレーションを実行する構成の変形例を示す図である。図10において、図8と同一の構成要素は同一の番号で参照し、その説明は省略する。図10の論理シミュレーション装置においては、図8の場合の検証対象回路41Aの代りに、検証対象回路41Bを論理モデルとして用いて論理シミュレーションを実行する。検証対象回路41Bは、検証対象回路41Aに対して総和計算部33が追加されている。総和計算部33は、検証対象回路41Bに含まれる複数の基本素子20−1A乃至20−4Aについて、出力消費電流値の総和を求める。総和計算部33は、こうして求めた検証対象回路41B全体で消費される総和消費電流値を、出力消費電流データ45Cとして出力する。
なお図10では、検証対象回路41Bの全体消費される総和消費電流値を総和計算部33により求めるものとしたが、検証対象回路41Bの一部をなす回路ブロックについてのみ総和消費電流値を総和計算部33により求めてもよい。例えば、検証対象回路41Bには基本素子20−1A乃至20−4A以外に多数の基本素子が含まれており、総和計算部33は基本素子20−1A乃至20−4Aにより構成される回路ブロックについてのみ総和消費電流値を求める構成であってよい。この場合、他の基本素子については各基本素子毎の消費電流値を出力してよいし、或いはこれら他の基本素子についての総和消費電流値を求めて出力してよい。また或いは、複数の回路ブロック毎に各々の総和消費電流値を出力してよい。
図11は、論理シミュレーション方法の処理手順の変形例を示す図である。図11に示す論理シミュレーションは、検証対象回路に対して総和計算部を追加して論理シミュレーションを実行するものである。図9に示す論理シミュレーション方法と比較して、図11に示す論理シミュレーション方法は、ステップS2の処理が異なる。図11のステップS2では、論理情報52と基本素子データ54とに基づいて論理モデルを構築する際に、電流値総和計算部61を論理モデルに含める。この電流値総和計算部61が図10の総和計算部33に相当する。ステップS4で実行した論理シミュレーションの結果として得られる出力消費電流データ62は、図10の出力消費電流データ45Cに相当する。
この場合の出力消費電流データ62は、検証対象回路全体についての総和電流値であってよいし、或いは、検証対象回路中の一部の回路ブロックについての総和電流値を含む電流データであってよい。後者の場合、当該回路ブロック部分以外については各基本素子毎の消費電流値であってよいし、或いは当該回路ブロック部分以外についての総和消費電流値であってよい。また或いは、複数の回路ブロック毎の各々の総和消費電流値であってよい。
図12は、論理シミュレーション方法の処理手順の更なる変形例を示す図である。図12において、図9及び図11と同一の構成要素は同一の番号で参照し、その説明は適宜省略する。
ステップS1で、抵抗・容量情報51と論理情報52とに基づいて、回路シミュレータや机上計算により各基本素子毎の消費電流値のデータである入力消費電流データ53を求める。ステップS2で、論理情報52、基本素子データ54、入力消費電流データ53、及び電流値総和計算部61に基づいて、回路ブロック論理モデル71を構築する。この回路ブロック論理モデル71においては、各基本素子のデータ格納部に入力消費電流データ53の対応する電流データが格納された状態となっている。次にステップS3で、回路ブロック論理モデル71を暗号化し、暗号化された回路ブロック論理モデル72を生成する。暗号化された回路ブロック論理モデル72は、例えば図10の構成では、基本素子20−1A乃至20−4A及び総和計算部33の部分を暗号化したものが、暗号化された回路ブロック論理モデル72に相当する。なお上に説明したように、基本素子20−1A乃至20−4Aのデータ格納部23には、入力消費電流データ53の対応する消費電流値が既に格納された状態で暗号化される。
ここで暗号化とは、論理シミュレータ装置のみが可読で、人間には読み解くことが困難な言語に置き換えることを意味する。暗号化の最も簡単な例としては、テキスト情報を論理シミュレータが読み解くことができるバイナリ情報に変換する処理などである。またより適切な例としては、論理シミュレータの機能として提供される暗号化機能である。この暗号化機能により回路ブロックを暗号化することにより、論理シミュレータは、暗号化された回路ブロックについて論理シミュレーションの実行は許すが、回路ブロックの内部の構成についての情報を提供しない。
次にステップS4で、暗号化された回路ブロック論理モデル72と基本素子データ54とに基づいて、テストベンチ55を用いて論理モデルを構築する。例えば、上記ステップS1乃至S3はIP開発元の会社で実行され、暗号化された回路ブロック論理モデル72を、IP開発元の会社から顧客の会社にIPとして提供する場合が考えられる。その際、顧客の会社では、提供されたIPを自社開発の回路に組み込んで利用することがある。このような場合には、暗号化された回路ブロック論理モデル72を一部として組み込んだ回路の論理モデルを構築することになる。暗号化された回路ブロック論理モデル72の内部構成等は解析できないので、回路ブロックの詳細についての秘密情報が顧客の会社に漏洩することはない。
ステップS5で、入力消費電流データを論理シミュレーション装置に読み込む。即ち、ステップS4で構築した論理モデルの各基本素子のデータ格納部のうちで消費電流値が未格納の部分(即ち暗号化された回路ブロック論理モデル72以外の部分)に、対応する消費電流データを格納する。更にステップS6で、ステップS4で構築した論理モデルを用いて検証対象回路の論理シミュレーションを実行する。即ち、テストパターン56を入力データとして論理モデルに印加し、クロック信号に同期して論理モデルを動作させることにより、検証対象回路の論理動作をシミュレートする。この論理シミュレーションの結果、出力消費電流データ73及び回路動作論理出力データ58が出力される。
出力消費電流データ73は、暗号化した形で提供された回路ブロックの部分についての総和電流値を含む電流データである。当該回路ブロック部分以外については各基本素子毎の消費電流値であってよいし、或いは当該回路ブロック部分以外についての総和消費電流値であってよい。また或いは、複数の回路ブロック毎の各々の総和消費電流値であってよい。
なお上記実施例の基本素子において、基本素子の消費電流値の精度を高めるために以下の点を考慮することが好ましい。まずデータ格納部のメモリに格納する電流値は、サンプリングクロック周期単位で平均化された値であることが好ましい。これは、基本素子から読み出される消費電流値は、サンプリングクロック1周期時間毎の消費電流値となるからである。次に、サンプリングクロック信号CLKの周期をなるべく短くすることが計算精度の観点からは好ましい。基本素子から読み出される消費電流値の精度は、サンプリングクロックの周期に依存する。例えば、ある1つのクロックサイクルの間に着目端子がLOWからHIGHに変わり更にHIGHからLOWに変化したような場合、上記実施例の基本素子では端子変化として検出されないからである。サンプリングクロックの周期を短くすることにより、実際の回路における消費電流値に近い電流値を出力することが可能となる。
図13は、論理シミュレーション方法を実行する装置の構成を示す図である。
図13に示されるように、本発明による論理シミュレーション方法を実行する装置は、例えばパーソナルコンピュータやエンジニアリングワークステーション等のコンピュータにより実現される。図13の装置は、コンピュータ510と、コンピュータ510に接続されるディスプレイ装置520、通信装置523、及び入力装置よりなる。入力装置は、例えばキーボード521及びマウス522を含む。コンピュータ510は、CPU511、RAM512、ROM513、ハードディスク等の二次記憶装置514、可換媒体記憶装置515、及びインターフェース516を含む。
キーボード521及びマウス522は、ユーザとのインターフェースを提供するものであり、コンピュータ510を操作するための各種コマンドや要求されたデータに対するユーザ応答等が入力される。ディスプレイ装置520は、コンピュータ510で処理された結果等を表示すると共に、コンピュータ510を操作する際にユーザとの対話を可能にするために様々なデータ表示を行う。通信装置523は、遠隔地との通信を行なうためのものであり、例えばモデムやネットワークインターフェース等よりなる。
本発明による論理シミュレーション方法は、コンピュータ510が実行可能なコンピュータプログラムとして提供される。このコンピュータプログラムは、可換媒体記憶装置515に装着可能な記憶媒体Mに記憶されており、記憶媒体Mから可換媒体記憶装置515を介して、RAM512或いは二次記憶装置514にロードされる。或いは、このコンピュータプログラムは、遠隔地にある記憶媒体(図示せず)に記憶されており、この記憶媒体から通信装置523及びインターフェース516を介して、RAM512或いは二次記憶装置514にロードされる。
キーボード521及び/又はマウス522を介してユーザからプログラム実行指示があると、CPU511は、記憶媒体M、遠隔地記憶媒体、或いは二次記憶装置514からプログラムをRAM512にロードする。CPU511は、RAM512の空き記憶空間をワークエリアとして使用して、RAM512にロードされたプログラムを実行し、適宜ユーザと対話しながら処理を進める。なおROM513は、コンピュータ510の基本動作を制御するための制御プログラムが格納されている。
上記コンピュータプログラムを実行することにより、コンピュータ510が、上記各実施例で説明されたように論理シミュレーション方法を実行する。
以上、本発明を実施例に基づいて説明したが、本発明は上記実施例に限定されるものではなく、特許請求の範囲に記載の範囲内で様々な変形が可能である。
以上の実施例を含む実施形態に関し、更に以下の付記を開示する。
(付記1)
ネットリストに埋め込む基本素子の動作モデルとして所定のハードウェア記述言語により記述され、前記ネットリストの回路に対する計算機による論理シミュレーションにおいて前記基本素子として動作するプログラムであって、
前記基本素子の論理動作を規定する論理動作部と、
前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、
前記信号レベルの変化の組み合わせに応じた位置のデータが読み出されるデータ格納部と
を含むことを特徴とするプログラム。
(付記2)
ネットリストとして複数の基本素子と相互接続とが規定された回路ブロックの動作モデルとして所定のハードウェア記述言語により記述され、計算機による論理シミュレーションにおいて前記回路ブロックとして動作するプログラムであって、
前記複数の基本素子の各々の論理動作を規定する論理動作部と、
前記複数の基本素子の各々の入出力端子における信号レベルの変化を検出する変化検出部と、
前記複数の基本素子の各々に対して前記信号レベルの変化の組み合わせに応じた位置のデータが読み出されるデータ格納部と、
前記複数の基本素子について前記データの総和を求める総和計算部と
を含むことを特徴とするプログラム。
(付記3)
暗号化されていることを特徴とする付記2記載のプログラム。
(付記4)
基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置のデータが読み出されるデータ格納部とを含み、前記基本素子の動作モデルとして所定のハードウェア記述言語により記述された複数の基本素子を読み込み、
回路のネットリストを読み込み、
前記ネットリストと前記複数の基本素子とに基づいて前記回路の論理モデルを構築し、
前記論理モデルを用いて前記回路の論理シミュレーションを実行し、
前記論理シミュレーションの結果として前記データに応じた出力データを出力する
各段階を計算機により実行する論理シミュレーション方法。
(付記5)
前記複数の基本素子の消費電流情報のデータを読み込み、
前記消費電流情報のデータを前記複数の基本素子の前記データ格納部に格納する
各段階を更に計算機により実行することを特徴とする付記4記載の論理シミュレーション方法。
(付記6)
前記出力データは、前記データ格納部から読み出された前記データの総和を前記回路の少なくとも一部について求めたデータであることを特徴とする付記4記載の論理シミュレーション方法。
(付記7)
前記複数の基本素子に基づいて前記回路の一部である回路ブロックの論理モデルを構築し、
前記回路ブロックの論理モデルを暗号化する
各段階を更に計算機により実行する段階として含み、前記回路の論理モデルを構築する段階は、前記暗号化された回路ブロックの論理モデルと前記回路の他の部分の論理モデルとを含むモデルとして前記回路の論理モデルを構築することを特徴とする付記4記載の論理シミュレーション方法。
(付記8)
演算ユニットと、
論理シミュレーションのプログラムを格納するメモリと、
を含み、前記演算ユニットにより前記論理シミュレーションのプログラムを実行することにより、
基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置のデータが読み出されるデータ格納部とを含み、前記基本素子の動作モデルとして所定のハードウェア記述言語により記述された複数の基本素子を読み込み、
回路のネットリストを読み込み、
前記ネットリストと前記複数の基本素子とに基づいて前記回路の論理モデルを構築し、
前記論理モデルを用いて前記回路の論理シミュレーションを実行し、
前記論理シミュレーションの結果として前記データに応じた出力データを出力する
ことを特徴とする論理シミュレーション装置。
(付記9)
前記演算ユニットにより、更に、
前記複数の基本素子の消費電流情報のデータを読み込み、
前記消費電流情報のデータを前記複数の基本素子の前記データ格納部に格納する
ことを特徴とする付記8記載の論理シミュレーション装置。
(付記10)
前記出力データは、前記データ格納部から読み出された前記データの総和を前記回路の少なくとも一部について求めたデータであることを特徴とする付記8記載の論理シミュレーション装置。
(付記11)
前記演算ユニットは、前記複数の基本素子に基づいて構築され暗号化された前記回路の一部である回路ブロックの論理モデルを読み込み、前記暗号化された回路ブロックの論理モデルと前記回路の他の部分の論理モデルとを含むモデルとして前記回路の論理モデルを構築することを特徴とする付記8記載の論理シミュレーション装置。
10 基本素子
11 論理動作部
12 変化検出部
13 データ格納部
14〜16 動作検出機構
17 データ配列
18 選択機構
20 基本素子
21 論理動作部
22 変化検出部
23 データ格納部

Claims (10)

  1. ネットリストに埋め込む基本素子の動作モデルとして所定のハードウェア記述言語により記述され、前記ネットリストの回路に対する計算機による論理シミュレーションにおいて前記基本素子として動作する暗号化されたプログラムであって、
    前記基本素子の論理動作を規定する論理動作部と、
    前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、
    前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部と
    を含むことを特徴とするプログラム。
  2. ネットリストとして複数の基本素子と相互接続とが規定された回路ブロックの動作モデルとして所定のハードウェア記述言語により記述され、計算機による論理シミュレーションにおいて前記回路ブロックとして動作する暗号化されたプログラムであって、
    前記複数の基本素子の各々の論理動作を規定する論理動作部と、
    前記複数の基本素子の各々の入出力端子における信号レベルの変化を検出する変化検出部と、
    前記複数の基本素子の各々に対して前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部と、
    前記複数の基本素子について前記消費電流データの総和を求める総和計算部と
    を含むことを特徴とするプログラム。
  3. 基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部とを含み、前記基本素子の動作モデルとして所定のハードウェア記述言語により記述された複数の基本素子を読み込み、
    回路のネットリストを読み込み、
    前記ネットリストと前記複数の基本素子とに基づいて前記回路の論理モデルを構築し、
    前記論理モデルを用いて前記回路の論理シミュレーションを実行し、
    前記論理シミュレーションの結果として前記消費電流データに応じた出力データを出力する
    各段階を計算機により実行する論理シミュレーション方法。
  4. 前記複数の基本素子の消費電流情報のデータを読み込み、
    前記消費電流情報のデータを前記複数の基本素子の前記データ格納部に格納する
    各段階を更に計算機により実行することを特徴とする請求項3記載の論理シミュレーション方法。
  5. 前記出力データは、前記データ格納部から読み出された前記消費電流データの総和を前記回路の少なくとも一部について求めたデータであることを特徴とする請求項3記載の論理シミュレーション方法。
  6. 前記複数の基本素子に基づいて前記回路の一部である回路ブロックの論理モデルを構築し、
    前記回路ブロックの論理モデルを暗号化する
    各段階を更に計算機により実行する段階として含み、前記回路の論理モデルを構築する段階は、前記暗号化された回路ブロックの論理モデルと前記回路の他の部分の論理モデルとを含むモデルとして前記回路の論理モデルを構築することを特徴とする請求項3記載の論理シミュレーション方法。
  7. 演算ユニットと、
    論理シミュレーションのプログラムを格納するメモリと、
    を含み、前記演算ユニットにより前記論理シミュレーションのプログラムを実行することにより、
    基本素子の論理動作を規定する論理動作部と、前記基本素子の入出力端子における信号レベルの変化を検出する変化検出部と、前記信号レベルの変化の組み合わせに応じた位置の消費電流データが読み出されるデータ格納部とを含み、前記基本素子の動作モデルとして所定のハードウェア記述言語により記述された複数の基本素子を読み込み、
    回路のネットリストを読み込み、
    前記ネットリストと前記複数の基本素子とに基づいて前記回路の論理モデルを構築し、
    前記論理モデルを用いて前記回路の論理シミュレーションを実行し、
    前記論理シミュレーションの結果として前記消費電流データに応じた出力データを出力する
    ことを特徴とする論理シミュレーション装置。
  8. 前記演算ユニットにより、更に、
    前記複数の基本素子の消費電流情報のデータを読み込み、
    前記消費電流情報のデータを前記複数の基本素子の前記データ格納部に格納する
    ことを特徴とする請求項7記載の論理シミュレーション装置。
  9. 前記出力データは、前記データ格納部から読み出された前記消費電流データの総和を前記回路の少なくとも一部について求めたデータであることを特徴とする請求項7記載の論理シミュレーション装置。
  10. 前記演算ユニットは、前記複数の基本素子に基づいて構築され暗号化された前記回路の一部である回路ブロックの論理モデルを読み込み、前記暗号化された回路ブロックの論理モデルと前記回路の他の部分の論理モデルとを含むモデルとして前記回路の論理モデルを構築することを特徴とする請求項7記載の論理シミュレーション装置。
JP2009126783A 2009-05-26 2009-05-26 論理シミュレーション装置、方法、及びプログラム Expired - Fee Related JP5262996B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009126783A JP5262996B2 (ja) 2009-05-26 2009-05-26 論理シミュレーション装置、方法、及びプログラム
US12/786,558 US20100305934A1 (en) 2009-05-26 2010-05-25 Logical simulation system, logical simulation method, and logical simulation program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009126783A JP5262996B2 (ja) 2009-05-26 2009-05-26 論理シミュレーション装置、方法、及びプログラム

Publications (2)

Publication Number Publication Date
JP2010277179A JP2010277179A (ja) 2010-12-09
JP5262996B2 true JP5262996B2 (ja) 2013-08-14

Family

ID=43221213

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009126783A Expired - Fee Related JP5262996B2 (ja) 2009-05-26 2009-05-26 論理シミュレーション装置、方法、及びプログラム

Country Status (2)

Country Link
US (1) US20100305934A1 (ja)
JP (1) JP5262996B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5790294B2 (ja) * 2011-08-15 2015-10-07 富士通株式会社 消費電流算出装置、消費電流算出プログラムおよび消費電流算出方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4009490A (en) * 1975-07-07 1977-02-22 Ncr Corporation PLO phase detector and corrector
EP0248268B1 (de) * 1986-06-06 1993-03-31 Siemens Aktiengesellschaft Verfahren zur Simulation eines Verzögerungsfehlers in einer Logikschaltung und Anordnungen zur Durchführung des Verfahrens
US4996659A (en) * 1986-08-20 1991-02-26 Hitachi, Ltd. Method of diagnosing integrated logic circuit
JP3082987B2 (ja) * 1991-10-09 2000-09-04 株式会社日立製作所 ミックスモードシミュレーション方法
JP2763985B2 (ja) * 1992-04-27 1998-06-11 三菱電機株式会社 論理シミュレーション装置
EP0707250A1 (en) * 1992-08-24 1996-04-17 Omron Corporation Failure detection apparatus and method
JP2708338B2 (ja) * 1992-10-12 1998-02-04 三菱電機株式会社 論理シミュレーション装置及び回路シミュレーション装置
JP3212423B2 (ja) * 1993-09-30 2001-09-25 富士通株式会社 テストパターン作成装置
US5650947A (en) * 1994-01-31 1997-07-22 Fujitsu Limited Logic simulation method and logic simulator
US5600787A (en) * 1994-05-31 1997-02-04 Motorola, Inc. Method and data processing system for verifying circuit test vectors
AU2816495A (en) * 1994-06-03 1996-01-04 Synopsys, Inc. Method and apparatus for estimating the power dissipated by a digital circuit
JP3604742B2 (ja) * 1994-09-02 2004-12-22 株式会社ルネサステクノロジ 回路検証用シミュレーション装置
JP3671504B2 (ja) * 1996-03-05 2005-07-13 ヤマハ株式会社 半導体集積回路のレイアウト設計方法
JPH09282346A (ja) * 1996-04-12 1997-10-31 Fujitsu Ltd セル消費電流特性算出システム
JP3201258B2 (ja) * 1996-04-24 2001-08-20 株式会社日立製作所 テストデータ作成装置およびその方法
JP3693420B2 (ja) * 1996-06-20 2005-09-07 株式会社リコー 集積回路の消費電力見積り装置
JP3320626B2 (ja) * 1996-12-27 2002-09-03 株式会社日立製作所 電子回路の消費電力計算方法および装置
JPH11142482A (ja) * 1997-11-13 1999-05-28 Fujitsu Ltd タイミング故障診断方法及び装置
US6189133B1 (en) * 1998-05-14 2001-02-13 International Business Machines Corporation Coupling noise reduction technique using reset timing
JP2000029920A (ja) * 1998-07-13 2000-01-28 Mitsubishi Electric Corp シミュレーション装置、シミュレーション方法およびシミュレーションプログラムを記録した媒体
JP2001216346A (ja) * 2000-02-04 2001-08-10 Mitsubishi Electric Corp 論理シミュレーション方法および論理シミュレーション装置
JP3888823B2 (ja) * 2000-02-14 2007-03-07 松下電器産業株式会社 半導体集積回路
US6671846B1 (en) * 2000-06-20 2003-12-30 Lsi Logic Corporation Method of automatically generating schematic and waveform diagrams for isolating faults from multiple failing paths in a circuit using input signal predictors and transition times
JP4493173B2 (ja) * 2000-07-27 2010-06-30 株式会社ルネサステクノロジ バックアノテーション方法
JP2002222230A (ja) * 2000-11-27 2002-08-09 Matsushita Electric Ind Co Ltd 不要輻射最適化方法および不要輻射解析方法
JP2002259488A (ja) * 2001-03-06 2002-09-13 Mitsubishi Electric Corp クロックスキュー検証方法
US6804803B2 (en) * 2001-04-05 2004-10-12 International Business Machines Corporation Method for testing integrated logic circuits
US7031899B2 (en) * 2001-04-09 2006-04-18 Novas Software, Inc. System for characterizing simulated circuit logic and behavior
US6631507B2 (en) * 2001-09-27 2003-10-07 Umax Data Systems, Inc. Timing signal generation for charge-coupled device
TWI221238B (en) * 2002-05-17 2004-09-21 Via Tech Inc Circuitry cross-talk analysis with consideration of signal transitions
US7587305B2 (en) * 2002-06-26 2009-09-08 Cray Inc. Transistor level verilog
JP3980957B2 (ja) * 2002-07-24 2007-09-26 株式会社ルネサステクノロジ 消費電力算出方法
US7134100B2 (en) * 2002-07-29 2006-11-07 Nec Usa, Inc. Method and apparatus for efficient register-transfer level (RTL) power estimation
JP2004094362A (ja) * 2002-08-29 2004-03-25 Renesas Technology Corp 故障検証装置
JP2004171367A (ja) * 2002-11-21 2004-06-17 Matsushita Electric Ind Co Ltd 回路動作シミュレーション装置、回路動作シミュレーション方法、回路動作シミュレーションプログラム、および回路情報復号化プログラム
US7200767B2 (en) * 2002-12-27 2007-04-03 Texas Instruments Incorporated Maintaining synchronization of multiple data channels with a common clock signal
US7444604B2 (en) * 2003-09-26 2008-10-28 Nascentric, Inc. Apparatus and methods for simulation of electronic circuitry
JP4417084B2 (ja) * 2003-12-02 2010-02-17 Necエレクトロニクス株式会社 アナログ回路の故障検出シミュレーションシステム
US7126559B2 (en) * 2003-12-24 2006-10-24 Super Talent Electronics, Inc. USB flash-memory drive with dazzling marquee-pattern driver for multi-LED display
US20060004557A1 (en) * 2004-07-01 2006-01-05 Synopsys, Inc. System and method for reducing size of simulation value change files
JP4651620B2 (ja) * 2004-07-07 2011-03-16 三菱電機株式会社 電力算出装置、電力算出方法、耐タンパ性評価装置及び耐タンパ性評価方法
US7979833B2 (en) * 2004-10-23 2011-07-12 Lsi Corporation Debugging simulation of a circuit core using pattern recorder, player and checker
JP4437741B2 (ja) * 2004-11-26 2010-03-24 富士通マイクロエレクトロニクス株式会社 半導体集積回路の設計装置、半導体集積回路および半導体集積回路の設計プログラム
JP4178279B2 (ja) * 2005-01-11 2008-11-12 富士通マイクロエレクトロニクス株式会社 信号検出方法、消費電力制御方法、信号検出装置及び消費電力制御装置
US7984090B1 (en) * 2005-04-30 2011-07-19 GATeIC, Inc. Efficient function generator using case detection and output selection
US7617425B2 (en) * 2005-06-27 2009-11-10 Logicvision, Inc. Method for at-speed testing of memory interface using scan
US7573235B2 (en) * 2005-09-26 2009-08-11 The Unites States Of America As Repsented By The Secretary Of The Navy Battery charger and power reduction system and method
US7711940B2 (en) * 2005-12-19 2010-05-04 Samsung Electronics Co., Ltd. Circuit block and circuit system having skew compensation, and skew compensation method
US20070174638A1 (en) * 2006-01-20 2007-07-26 National Taiwan University Method used for digital right management of system-on-chip IP by making use of system platform
US7721090B1 (en) * 2006-03-07 2010-05-18 Xilinx, Inc. Event-driven simulation of IP using third party event-driven simulators
JP4770588B2 (ja) * 2006-05-24 2011-09-14 ソニー株式会社 スケルトン生成装置およびその方法
WO2007142201A1 (ja) * 2006-06-05 2007-12-13 Panasonic Corporation マルチサイクルパス情報の検証方法、およびマルチサイクルパス情報の検証装置
JP4704299B2 (ja) * 2006-09-06 2011-06-15 富士通株式会社 Lsiの消費電力ピーク見積プログラム及びその装置
US8281277B2 (en) * 2006-09-29 2012-10-02 Nec Corporation Signal selecting apparatus, circuit amending apparatus, circuit simulator, circuit emulator, method of signal selection and program
US7463178B2 (en) * 2007-01-16 2008-12-09 Moore Gary W Reconfigurable signal processor for raw data patterns
US7703054B2 (en) * 2007-04-09 2010-04-20 Springsoft, Inc. Circuit emulation and debugging method
US7908574B2 (en) * 2007-05-09 2011-03-15 Synopsys, Inc. Techniques for use with automated circuit design and simulations
JP4729007B2 (ja) * 2007-06-20 2011-07-20 株式会社東芝 消費電力解析装置および消費電力解析方法
JP4500338B2 (ja) * 2007-10-04 2010-07-14 シャープ株式会社 テストパターン評価方法及びテストパターン評価装置
US8145967B2 (en) * 2007-10-12 2012-03-27 Oracle America, Inc. System and method for verifying the receive path of an input/output component
DE102008004819B4 (de) * 2008-01-17 2010-06-24 Texas Instruments Deutschland Gmbh Schaltung und Verfahren zur Detektion von Netzknotenalterung in Kommunikationsnetzen
EP2274696A1 (en) * 2008-04-15 2011-01-19 University College Cork-National University of Ireland, Cork Circuit analysis
WO2009127902A1 (en) * 2008-04-15 2009-10-22 Freescale Semiconductor, Inc. Microcontroller device, microcontroller debugging device, method of debugging a microcontroller device, microcontroller kit.
JP5032395B2 (ja) * 2008-05-16 2012-09-26 川崎マイクロエレクトロニクス株式会社 テスト条件の生成方法およびテスト条件生成装置
JP5024185B2 (ja) * 2008-05-29 2012-09-12 富士通株式会社 回路動作検証方法及び装置
US9183329B2 (en) * 2009-03-19 2015-11-10 Synopsys, Inc. Debugging simulation with partial design replay

Also Published As

Publication number Publication date
JP2010277179A (ja) 2010-12-09
US20100305934A1 (en) 2010-12-02

Similar Documents

Publication Publication Date Title
Scarabottolo et al. Approximate logic synthesis: A survey
Sengupta et al. TL-HLS: methodology for low cost hardware Trojan security aware scheduling with optimal loop unrolling factor during high level synthesis
US8095354B2 (en) Power consumption peak estimation program for LSI and device therefor
US9141736B2 (en) Method for power estimation for virtual prototyping models for semiconductors
Bosio et al. Lifting: A flexible open-source fault simulator
JP4651620B2 (ja) 電力算出装置、電力算出方法、耐タンパ性評価装置及び耐タンパ性評価方法
Choudhary et al. Approximate computing: Evolutionary methods for functional approximation of digital circuits
Lingappan et al. Satisfiability-based automatic test program generation and design for testability for microprocessors
CN114880975A (zh) 一种硬件木马的生成方法、系统、设备以及介质
Coussy et al. GAUT–a free and open source high-level synthesis tool
JP5262996B2 (ja) 論理シミュレーション装置、方法、及びプログラム
Raghunathan et al. High-level macro-modeling and estimation techniques for switching activity and power consumption
JP2008299464A (ja) 消費電力計算方法、消費電力計算プログラムおよび消費電力計算装置
Cong et al. Accelerating monte carlo based SSTA using FPGA
JP2004062238A (ja) 消費電力算出方法
Kabiri et al. Effective RT-level software-based self-testing of embedded processor cores
Gupta et al. High level power and energy exploration using ArchC
JP2004070457A (ja) シミュレーション用カバレッジ算出装置及びシミュレーション用カバレッジ算出方法
KR102545171B1 (ko) 컴퓨팅 시스템 및 컴퓨팅 시스템에서 회로 설계에 대한 검증을 수행하는 방법
Singh et al. MemSPICE: Automated simulation and energy estimation framework for magic-based logic-in-memory
Sridhar et al. Model-based test-case generation for Simulink/Stateflow using dependency graph approach
Simoglou et al. Graph-based STA for asynchronous controllers
Sinha et al. Abstract state machines as an intermediate representation for high-level synthesis
Huang On speeding up extended finite state machines using catalyst circuitry
Corno et al. A genetic algorithm-based system for generating test programs for microprocessor ip cores

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130311

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130415

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees