JPH09293094A - レイアウト設計装置 - Google Patents

レイアウト設計装置

Info

Publication number
JPH09293094A
JPH09293094A JP8131347A JP13134796A JPH09293094A JP H09293094 A JPH09293094 A JP H09293094A JP 8131347 A JP8131347 A JP 8131347A JP 13134796 A JP13134796 A JP 13134796A JP H09293094 A JPH09293094 A JP H09293094A
Authority
JP
Japan
Prior art keywords
layout
delay
relay
gate
result
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP8131347A
Other languages
English (en)
Other versions
JP2882359B2 (ja
Inventor
Shigeyoshi Tawada
茂芳 多和田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP8131347A priority Critical patent/JP2882359B2/ja
Priority to US08/847,628 priority patent/US6145116A/en
Publication of JPH09293094A publication Critical patent/JPH09293094A/ja
Application granted granted Critical
Publication of JP2882359B2 publication Critical patent/JP2882359B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 論理変更を伴う配置配線の修正処理を自動的
に実行するレイアウト設計装置を提供する。 【解決手段】 回路素子の配置及び回路素子間の配線を
行って論理回路のレイアウト設計を行うレイアウト設計
装置において、所定の方法で所定の論理回路のレイアウ
ト設計を行ったレイアウト結果に対して遅延解析処理を
施すパス遅延解析手段104と、遅延解析処理の結果、
レイアウト結果に遅延エラーが存在する場合に、論理回
路の論理変更を行って遅延エラーを解消する中継バッフ
ァ挿入手段105と、中継バッファ挿入手段105によ
る論理変更の結果にしたがって、レイアウト結果を再配
線するインクリメンタル配線手段107とを備える。

Description

【発明の詳細な説明】
【0001】
【発明が属する技術分野】本発明は、LSI、プリント
基板等の論理回路における回路素子の配置及び配線等の
設計を自動的に行う半導体デバイスのレイアウト設計装
置に関し、特に遅延エラーを改善する機能を備えたレイ
アウト設計装置に関する。
【0002】
【従来の技術】一般に、LSI、プリント基板等の論理
回路における回路素子の配置及び配線等のレイアウトの
設計は、コンピュータを用いたCADシステムにより行
われている。通常、この種のCADシステム(以下、レ
イアウト設計装置と称す)は、論理回路のレイアウト設
計を行うほか、遅延解析を行って、設計された当該論理
回路が同期等の種々の理由に基づく遅延時間の要請を満
足するかどうかを検証する。
【0003】従来、この種のレイアウト設計装置は、総
配線長の短縮、配線性の向上を目的とする自動配置配線
処理を、論理回路の論理接続情報に基づいて行う。そし
て、配置配線後の遅延解析でパスの遅延エラーが発生し
た場合、手作業にて配置配線の修正を行ったり、論理接
続情報を修正して再度レイアウト設計を実行したりする
ことにより、遅延エラーを除去していた。
【0004】また、遅延制約の厳しいパス上のゲート
を、当該遅延制約に対する違反が起こらないように、手
作業にて、予め近付けて配置したり、場合によっては、
手作業にて配線を行ったりして遅延エラーを改善してい
た。
【0005】また、遅延解析手段を備えた自動配置配線
手段を用いて遅延エラーを改善するレイアウト設計装置
も提案されていた。この種のレイアウト設計装置では、
論理回路の論理接続関係を変更することなく、回路素子
の配置及び配線処理の手法等を工夫することにより、遅
延エラーの解消を行っていた。この種の技術としては、
例えば、特開平4−279976号公報、特開平5−4
0802号公報、特開平5−120377号公報、特開
平5−181938号公報等に開示された技術がある。
【0006】図9に示すように、フリップフロップ20
1、202と、ゲート203〜206とを配置し、各回
路ブロック間をネット207〜214で接続して構成さ
れた論理接続関係を考える。この論理回路には、フリッ
プフロップ間パスとして、パス215が存在する。この
論理接続情報に基づいて、従来のレイアウト設計装置に
より、レイアウト設計を行った結果を図17に示す。
【0007】上述したように、従来のレイアウト設計装
置では、論理接続情報にのみ基づいてレイアウト設計を
行っている。したがって、図17に示した配置配線結果
に対して遅延解析を行った結果、当該論理回路に要求さ
れる遅延制約を違反している場合、上述したように、手
作業による配置配線の修正や、論理接続情報を変更して
配置配線処理を再度実行することにより、遅延制約を満
足する配置配線結果を取得する必要があった。
【0008】
【発明が解決しようとする課題】上述したように、従来
のレイアウト設計装置は、論理接続情報のみに基づいて
配置配線処理を行うため、配置配線後の遅延解析によ
り、遅延時間制約の厳しいパスについてパス遅延エラー
を発生することがあるという欠点があった。
【0009】また、発生した遅延エラーを手作業により
修正したり、論理接続情報を修正して再度配置配線しな
おしたり、遅延エラーの発生が予想されるクリティカル
パスに対して、遅延制約を考慮した配置配線を予め手作
業にて行ったりするため、これらの論理修正作業、配置
配線作業、及び配置配線の修正作業等に多大な工数を必
要とするという欠点があった。
【0010】さらに、論理変更なしに遅延解析手段をも
つ自動配置配線手段を用いて遅延エラーを改善する手法
を用いるため、遅延エラー改善に限界があるという欠点
があった。
【0011】本発明は、上記従来の欠点を解決し、論理
変更を伴う配置配線の修正処理を自動的に実行すること
により、遅延エラーの発生を極力回避し、また遅延エラ
ーが発生した場合の手作業による配置配線の修正等に要
する工数を削減し、設計される論理回路の性能向上、配
置配線の高集積化、処理の高速化、及び生産性の向上を
実現したレイアウト設計装置を提供することにある。
【0012】
【課題を解決するための手段】上記の目的を達成するた
め、本発明は、回路素子の配置及び回路素子間の配線を
行って論理回路のレイアウト設計を行うレイアウト設計
装置において、所定の方法で所定の論理回路のレイアウ
ト設計を行ったレイアウト結果に対して遅延解析処理を
施す遅延解析手段と、前記遅延解析処理の結果、前記レ
イアウト結果に遅延エラーが存在する場合に、前記論理
回路の論理変更を行って該遅延エラーを解消する論理変
更手段と、前記論理変更手段による論理変更の結果にし
たがって、前記レイアウト結果を再配線する再配線手段
とを備える構成としている。
【0013】また、他の態様では、前記論理変更手段
が、前記論理回路の所定のネットに必要な遅延特性及び
ドライブ性能を備えた中継ゲートを挿入する中継ゲート
挿入手段を備える。
【0014】さらに他の態様では、前記再配線手段が、
前記中継ゲート挿入手段による挿入結果にしたがって、
前記中継ゲートを前記レイアウト結果に配置する中継ゲ
ート配置手段を備える。
【0015】また、他の好ましい態様では、前記中継ゲ
ート配置手段が、前記中継ゲート挿入手段により指定さ
れた前記中継ゲートの配置位置に他の回路素子が存在す
る場合に、該配置位置の近傍であって、前記中継ゲート
を配置可能な位置に前記中継ゲートを配置する。
【0016】また、他の好ましい態様では、前記再配線
手段が、前記中継ゲート挿入手段による挿入結果にした
がって、前記中継ゲートを前記レイアウト結果に配置す
る中継ゲート配置手段と、前記中継ゲート挿入手段によ
り指定された前記中継ゲートの配置位置に他の回路素子
が存在する場合に、前記中継ゲートを優先し、前記他の
回路素子をずらす配置エラー除去手段とを備える。
【0017】また、他の好ましい態様では、前記中継ゲ
ートがバッファゲートである。
【0018】さらに、他の好ましい態様では、前記中継
ゲートがインバータであり、前記中継ゲート挿入手段
は、前記インバータを偶数個を1組として前記ネットに
挿入する。
【0019】
【発明の実施の形態】以下、本発明の実施例について図
面を参照して詳細に説明する。
【0020】図1は、本発明の第1実施例によるレイア
ウト設計装置の構成を示す回路ブロック図である。
【0021】図示のように、本実施例のレイアウト設計
装置は、論理接続情報等を入力する論理/ライブラリ入
力手段102と、各パスの遅延制約を入力するパス遅延
制約入力手段103と、パスの遅延解析を行うパス遅延
解析手段104と、パス上のネットに必要な中継バッフ
ァを挿入する中継バッファ挿入手段105及び中継バッ
ファ配置手段106と、ネットの再配線を行うインクリ
メンタル配線手段107と、配置配線結果を出力する配
置配線出力手段108と、これらの各種段を制御する制
御手段101とを備える。
【0022】論理/ライブラリ入力手段102は、キー
ボード等の入力デバイスとプログラム制御されたCPU
及びRAM等で実現され、レイアウト設計に用いる種々
の情報を入力する。論理/ライブラリ入力手段102が
入力する情報には、論理回路を構成する回路ブロック間
の論理接続情報109、回路ブロックの配置結果や回路
ブロック間接続の配線結果に関する物理情報110、遅
延解析に必要な回路ブロック内部遅延や配線遅延計算用
パラメータ等の遅延情報111を含む。
【0023】パス遅延制約入力手段103は、キーボー
ド等の入力デバイスとプログラム制御されたCPU及び
RAM等で実現され、論理回路における各パスごとに当
該論理回路の目標性能を規定する遅延時間の制限値であ
るパス遅延制約情報112を入力する。パス遅延制約情
報112にて特定される遅延時間の制限値には、遅延時
間の最小値を示す最小遅延時間制限と、遅延時間の最大
値を示す最大遅延時間制限とがある。
【0024】パス遅延解析手段104は、プログラム制
御されたCPU等で実現され、各パスの遅延解析を行
う。ここで、すでに配置配線処理を行ったパスについて
は、当該配置配線結果(以下、実配線結果と称す)を用
いて遅延解析を行う。また、未だ配置配線処理を行って
いないパスについては、回路ブロックの種類や位置に基
づいて計算された仮の配置配線結果(以下、仮想配線結
果と称す)を用いて遅延解析を行う。
【0025】中継バッファ挿入手段105は、プログラ
ム制御されたCPU等で実現され、パス遅延解析手段1
04によるパス遅延解析の結果、遅延エラーを生じてい
ることを検出されたパス上のネットに対して必要な中継
バッファを挿入する。ここで、中継バッファとしては、
回路内部の遅延時間(バッファゲートディレイ)とドラ
イブ能力とが異なるいくつかの種類のバッファゲートが
用意される。図2に、用意されるバッファゲートの例を
示す。
【0026】図2において、バッファゲート401は、
バッファゲートディレイが0.5Tであり(Tは時間の
単位)、図3のメディアディレイグラフに示すドライブ
能力を有する。バッファゲート402は、バッファゲー
トディレイが1Tであり、図5のメディアディレイグラ
フに示すドライブ能力を有する。バッファゲート403
は、バッファゲートディレイが2Tであり、図7のメデ
ィアディレイグラフに示すドライブ能力を有する。図
3、図5及び図7から明らかなように、ドライブ能力の
高いバッファゲートほど、長い配線に対して配線遅延を
小さくできる効果があることがわかる。
【0027】所定のネットにおける配線遅延と、当該ネ
ットにバッファゲート401、402、403を挿入し
た場合の配線遅延との関係を図4、図6及び図7に示
す。各図において、当該ネット40における出力側のゲ
ート41のドライブ能力は、図5に示したバッファゲー
ト402のドライブ能力と同等とする。したがって、バ
ッファゲート401、402、403を挿入しない状態
で、長さ10Lであるネット40の配線遅延は10Tで
ある(Lは長さの単位)。なお、ここでは、説明を簡単
にするため、バッファゲート401、402、403を
挿入する場合、同一のバッファゲートを等間隔で挿入す
るものとする。
【0028】まず、バッファゲート401を挿入する場
合について説明する。図4を参照すると、(B)におい
て、バッファゲート401を1個挿入している。ここ
で、出力側ゲート41からバッファゲート401までの
ネットの長さ、及びバッファゲート401から入力側ゲ
ート42までの長さが共に5Lであるから、図5、図3
のメディアディレイグラフを参照すると、配線遅延が、
それぞれ2.5T、7Tとなる。また、バッファゲート
401のバッファゲートディレイが0.5Tであるか
ら、出力側ゲート41から入力側ゲート42までの総遅
延時間は10Tである。同様に、(C)に示すバッファ
ゲート401を2個挿入した場合の総遅延時間は5.8
T、(D)に示すバッファゲート401を3個挿入した
場合の総遅延時間は5.1Tである。
【0029】バッファゲート402を挿入する場合は、
図6を参照すると、(B)に示すバッファゲート402
を1個挿入した場合の総遅延時間は6T、(C)に示す
バッファゲート402を2個挿入した場合の総遅延時間
は4.4T、(D)に示すバッファゲート402を3個
挿入した場合の総遅延時間は5.4Tである。
【0030】同様に、バッファゲート403を挿入する
場合は、図8を参照すると、(B)に示すバッファゲー
ト402を1個挿入した場合の総遅延時間は5.5T、
(C)に示すバッファゲート402を2個挿入した場合
の総遅延時間は6.2T、(D)に示すバッファゲート
402を3個挿入した場合の総遅延時間は7.8Tであ
る。
【0031】以上のように、ネットに挿入するバッファ
ゲートの種類及び数、挿入間隔等の条件に応じて当該ネ
ットの遅延時間を調整することができる。したがって、
遅延エラーを生じたネットがある場合、中継バッファ挿
入手段106において、バッファゲートを適当に組み合
わせて当該ネットに挿入することにより、遅延エラーを
解消することが可能となる。
【0032】中継バッファ配置手段106は、プログラ
ム制御されたCPU等で実現され、中継バッファ挿入部
105により挿入された中継バッファを、実配線結果ま
たは仮想配線結果の経路上に配置する。他のパスとの関
係で所望の位置に中継バッファを配置できない場合は、
当該位置の近傍で中継バッファを配置可能な位置に配置
する。
【0033】インクリメンタル配線手段107は、プロ
グラム制御されたCPU等で実現され、中継バッファ挿
入手段105、中継バッファ配置手段106による中継
バッファの配置によって分割されたネットの配線、及び
中継バッファの配置によって配線ショート等の設計規則
エラーを起こす他のネットの再配線を行う。
【0034】次に、図9ないし図13及び図17を参照
して、本実施例によるレイアウト設計動作について説明
する。
【0035】動作例として、図9に示す論理接続関係を
有する論理回路のレイアウト設計を行う場合を考える。
図示のように、この論理回路は、フリップフロップ20
1、202と、ゲート203〜206とを配置し、各回
路ブロック間をネット207〜214で接続して構成さ
れる。また、フリップフロップ間パスとしてパス215
が存在している。
【0036】まず、初期状態として、制御手段101の
制御の下、論理/ライブラリ入力手段102により、回
路ブロック間の論理接続情報109、回路ブロックの物
理情報110、及び遅延解析に用いる遅延情報111が
入力される。また、パス遅延制約入力手段103によ
り、パス遅延制約情報112として最大遅延時間制限が
入力され、全てのフリップフロップ間パスに対して制限
値20Tが設定されているものとする。
【0037】また、初期動作として、従来のレイアウト
設計装置と同様に、遅延時間制限を考慮せず、論理接続
関係のみに基づいて配置配線処理を行った結果を取得す
る。この場合、得られた配置配線結果は、図17に示し
た従来の配置配線結果と同様となる。ここで、当該配置
配線結果におけるパス215の遅延時間は25Tである
ものとする。したがって、当該配置配線結果においてパ
ス215はバス遅延制約情報112において設定されて
いる最大遅延時間制限に違反する。この配置配線結果
は、論理/ライブラリ入力手段102によって配置配線
結果情報113として入力される。
【0038】次に、パス遅延解析手段104により、全
てのパスの遅延解析が行われる。すなわち、パス遅延制
約情報112の最大遅延時間制約と各パスの遅延時間と
が比較され、遅延エラーを起こしているパスが検出され
る。この例では、パス215の遅延時間が25Tで最大
遅延時間制約の制限値20Tを越えているので、パス2
15は、遅延エラーを起こしているパスとして検出され
る。
【0039】次に、中継バッファ挿入手段105が、検
出された遅延エラーを起こしているパスについて、遅延
情報111を参照し、当該パスを構成するネットの配線
長とその出力ゲートのドライブ能力に基づいて、当該パ
ス全体の遅延エラーを解消可能なネットを選択する。こ
こで、パス全体の遅延エラーを解消可能なネットとは、
適当なバッファゲートを挿入することによって、最大遅
延時間制約に対する当該パスの遅延時間の超過分以上の
遅延時間の短縮を実現できるネットである。パス215
の例でいえば、遅延時間の超過分は5T(=25T−2
0T)であるから、5T以上の遅延時間の短縮を実現で
きるネットが選択の対象となる。そして、選択されたネ
ットに対して遅延エラーの解消可能なバッファゲート
を、遅延情報111を参照して選択し、中継バッファと
して当該パスの論理接続関係に挿入し、論理接続情報1
09を更新する。
【0040】図9の論理回路において、ネット209に
中継バッファを挿入することによりパス215の遅延エ
ラーを解消できるものとして、中継バッファ挿入手段1
05の動作を詳細に説明する。例えば、ゲート204の
ドライブ性能が図5に示したメディアディレイグラフに
て示されるドライブ性能と同等であり、ネット209に
対応する配線の長さが10Lであるものとする。これ
は、図4、図6及び図8で示したネット40と等価であ
る。そこで、図6を参照すると、(C)の場合で、総遅
延時間が4.4Tであり、当該ネットに何も挿入しない
場合の遅延時間10Tよりも5.6T小さい。この値
は、パス215全体の遅延時間の最大遅延時間制約に対
する超過分である5Tよりも大きい。すなわち、このケ
ースを採用することにより、パス215全体の遅延時間
が19.4Tとなって最大遅延時間制約の制限値20T
よりも小さくなり、遅延エラーを解消できる。
【0041】したがって、中継バッファ挿入手段105
は、ネット209に、図2に示すバッファゲート402
を2個挿入し、論理接続情報109を更新する。図10
に更新後の論理接続関係を示す。図示のように、図9の
ネット209の位置に、バッファゲート501、502
とネット209を3分割したネット503、504、5
05とで構成される論理接続関係が挿入される。また、
中継バッファ挿入手段105は、論理接続情報109を
更新すると同時に、配置配線結果情報113からネット
209に対応する配線結果である配線304を削除する
ただし、配線結果の形状は記憶しておき、中継バッファ
配置手段106による処理においてその情報を利用す
る。。配線304を削除した配線結果を図11に示す。
【0042】なお、上記の挿入すべきバッファゲートの
組合せの選択において、遅延計算が定式化可能な場合に
は、バッファゲートの種類、個数の選択と最適な配線分
割位置を計算により求めることも可能である。
【0043】また、実際の遅延時間は単純に加算できる
ものではなく、配置配線後でないと正確に求めることは
難しいため、ある程度余裕をもって中継バッファ選択を
行うことが好ましい。予測が失敗し、挿入された中継バ
ッファによっても遅延エラーを除去できないことが検知
された場合には、当該中継バッファ挿入手段105によ
る処理に立ち戻って再度ネット及び挿入するバッファゲ
ートの選択をしなおすことになる。
【0044】さらに、上記の例では1つのネットへの中
継バッファの挿入により遅延エラーが解消できるが、1
つのネットへの中継バッファの挿入のみでは遅延エラー
を完全に解消できない場合、同一パス上のいくつかのネ
ットについて同様の処理を施して遅延エラーを解消し、
または改善する。
【0045】以上のように、中継バッファ挿入手段10
5によって中継バッファの挿入が行われた後、中継バッ
ファ配置手段106が、挿入された中継バッファ50
1、502を、削除された配線結果304の経路上の適
当な位置に配置する。中継バッファ501を配置する位
置は、できる限り遅延時間の短縮効果の高い位置が好ま
しい。しかし、他のパスとの位置関係によっては、最適
な位置に配置できない場合もあり、この場合は、当該最
適な位置の近傍の配置可能領域に配置する。以上の処理
により、中継バッファ501を配置した配線結果を図1
2に示す。図示の例では、中継バッファ501、502
は、配線304をおよそ3等分する位置に配置される。
また、この例では、中継バッファ501、502の配置
位置に他のネットの配線は存在しないが、そのような配
線が存在する場合には、配線ショートや配線禁止違反を
起こすような配線を削除する。
【0046】この後、インクリメンタル配線手段107
が、未配線状態となっているネット503、504、5
05の配線を行い、図13に示すように、遅延エラーが
解消された配線結果801、802、803を得る。図
示の例では、配線の迂回もなく、ほぼ中継バッファ挿入
手段105で見積もったとおりの線長での配線結果が生
成されている。
【0047】なお、以上の動作例では、実配線結果を対
象として処理を行っているが、実配線結果が存在しない
場合には仮想配線結果を見積もって実施することも可能
である。また、中継バッファを挿入するために、線長と
遅延時間についてグラフ化して最適な挿入結果を求めて
いるが、バッファ挿入ルールを簡単化して線長に対して
挿入するバッファゲート種類と個数を予め決めておく等
の手段により、処理時間の短縮を図ることも可能であ
る。
【0048】上記の動作例では、パス遅延時間制約情報
113としてパスの最大遅延時間制限を設定した場合の
遅延エラーを解消する動作について説明したが、パス遅
延時間制約情報113としてパスの最小遅延時間制限を
設定した場合は、中継バッファをディレイゲートと考え
て適当なネット上に配置することにより、遅延エラーを
解消することができる。
【0049】図14は、本発明の第2実施例によるレイ
アウト設計装置の構成を示す回路ブロック図である。
【0050】図示のように、本実施例のレイアウト設計
装置は、論理接続情報等を入力する論理/ライブラリ入
力手段102と、各パスの遅延制約を入力するパス遅延
制約入力手段103と、パスの遅延解析を行うパス遅延
解析手段104と、パス上のネットに必要な中継バッフ
ァを挿入する中継バッファ挿入手段105及び中継バッ
ファ配置手段901と、中継バッファの挿入の結果生じ
た配線上のエラーを除去する配置エラー除去手段902
と、ネットの再配線を行うインクリメンタル配線手段1
07と、配置配線結果を出力する配置配線出力手段10
8と、これらの各種段を制御する制御手段101とを備
える。
【0051】上記の構成において、制御手段101、論
理/ライブラリ入力手段102、パス遅延制約入力手段
103、パス遅延解析手段104、中継バッファ挿入手
段105、インクリメンタル配線手段107、及び配置
配線出力手段108は、図1に示した第1実施例の各手
段と同様であるため、同一の符号を付して説明を省略す
る。
【0052】中継バッファ配置手段901は、プログラ
ム制御されたCPU等で実現され、基本的な機能は第1
実施例における中継バッファ配置手段106と同様であ
る。しかし、第1実施例の中継バッファ配置手段106
が、中継バッファを最適な位置に配置できない場合に、
その近傍の配置可能領域を探索して配置していたのに対
し、本実施例の中継バッファ配置手段901は、他のゲ
ートの配置やネットの配線に関わらず、最適な位置に中
継バッファを配置する。
【0053】配置エラー除去手段902は、プログラム
制御されたCPU等で実現され、中継バッファ配置手段
901により中継バッファが配置されたことによって、
当該配置位置で当該中継バッファと重なってしまったゲ
ートがある場合に、当該中継バッファを優先し、当該ゲ
ートを配置の重なり(配置エラー)が解消されるまでず
らす。これに伴い、当該位置をずらしたゲートにつなが
っていたネットの配線結果や、当該ゲートの位置をずら
したことによって配線ショートや配線禁止違反を起こし
たネットの配線結果を削除する。削除されたネットは、
インクリメンタル配線手段107により再配線される。
【0054】図15は、本発明の第3実施例によるレイ
アウト設計装置の構成を示す回路ブロック図である。
【0055】図示のように、本実施例のレイアウト設計
装置は、論理接続情報等を入力する論理/ライブラリ入
力手段102と、各パスの遅延制約を入力するパス遅延
制約入力手段103と、パスの遅延解析を行うパス遅延
解析手段104と、パス上のネットに必要な中継インバ
ータを挿入する中継インバータ挿入手段1001及び中
継インバータ配置手段1002と、ネットの再配線を行
うインクリメンタル配線手段107と、配置配線結果を
出力する配置配線出力手段108と、これらの各種段を
制御する制御手段101とを備える。
【0056】上記の構成において、制御手段101、論
理/ライブラリ入力手段102、パス遅延制約入力手段
103、パス遅延解析手段104、インクリメンタル配
線手段107、及び配置配線出力手段108は、図1に
示した第1実施例の各手段と同様であるため、同一の符
号を付して説明を省略する。
【0057】中継インバータ挿入手段1001は、プロ
グラム制御されたCPU等で実現され、パス遅延解析手
段104によるパス遅延解析の結果、遅延エラーを生じ
ていることを検出されたパス上のネットに対して必要な
中継インバータを挿入する。ここで、中継インバータと
しては、回路内部の遅延時間とドライブ能力とが異なる
いくつかの種類のインバータが用意される。インバータ
を挿入するネットの選択、挿入するインバータの種類及
び個数の組合せの決定は、上述した第1実施例の中継バ
ッファ挿入手段105における中継バッファの挿入のた
めのネットの選択、バッファゲートの組合せの決定と同
様の手順により行う。ただし、インバータを挿入する
と、論理が正負逆になるので、偶数個のインバータを1
組として挿入する。
【0058】中継インバータ配置手段1002は、プロ
グラム制御されたCPU等で実現され、中継インバータ
挿入部1001により挿入された中継インバータを、実
配線結果または仮想配線結果の経路上に配置する。他の
パスとの関係で所望の位置に中継インバータを配置でき
ない場合は、当該位置の近傍で中継インバータを配置可
能な位置に配置する。
【0059】図16は、本発明の第4実施例によるレイ
アウト設計装置の構成を示す回路ブロック図である。
【0060】図示のように、本実施例のレイアウト設計
装置は、論理接続情報等を入力する論理/ライブラリ入
力手段102と、各パスの遅延制約を入力するパス遅延
制約入力手段103と、パスの遅延解析を行うパス遅延
解析手段104と、パス上のネットに必要な中継インバ
ータを挿入する中継インバータ挿入手段1001及び中
継インバータ配置手段1101と、中継バッファの挿入
の結果生じた配線上のエラーを除去する配置エラー除去
手段1102と、ネットの再配線を行うインクリメンタ
ル配線手段107と、配置配線結果を出力する配置配線
出力手段108と、これらの各種段を制御する制御手段
101とを備える。
【0061】上記の構成において、制御手段101、論
理/ライブラリ入力手段102、パス遅延制約入力手段
103、パス遅延解析手段104、インクリメンタル配
線手段107、及び配置配線出力手段108は、図1に
示した第1実施例の各手段と同様であり、また中継イン
バータ挿入手段1001は、図15に示した第3実施例
の中継インバータ挿入手段と同様であるため、同一の符
号を付して説明を省略する。
【0062】中継インバータ配置手段1101は、プロ
グラム制御されたCPU等で実現され、基本的な機能は
第3実施例における中継インバータ配置手段1002と
同様である。しかし、第3実施例の中継インバータ配置
手段1002が、中継バッファを最適な位置に配置でき
ない場合に、その近傍の配置可能領域を探索して配置し
ていたのに対し、本実施例の中継インバータ配置手段1
101は、他のゲートの配置やネットの配線に関わら
ず、最適な位置に中継インバータを配置する。
【0063】配置エラー除去手段1102は、プログラ
ム制御されたCPU等で実現され、中継インバータ配置
手段1101により中継インバータが配置されたことに
よって、当該配置位置で当該中継インバータと重なって
しまったゲートがある場合に、当該中継インバータを優
先し、当該ゲートを配置の重なり(配置エラー)が解消
されるまでずらす。これに伴い、当該位置をずらしたゲ
ートにつながっていたネットの配線結果や、当該ゲート
の位置をずらしたことによって配線ショートや配線禁止
違反を起こしたネットの配線結果を削除する。削除され
たネットは、インクリメンタル配線手段107により再
配線される。
【0064】以上好ましい実施例をあげて本発明を説明
したが、本発明は必ずしも上記実施例に限定されるもの
ではない。
【0065】
【発明の効果】以上説明したように、本発明のレイアウ
ト設計装置は、レイアウト結果に対して遅延解析を行
い、遅延エラーを検出した場合に当該遅延エラーを解消
するように論理回路の論理変更を行って再度配置配線処
理を行うため、遅延時間制約の厳しいパスを含む場合で
もパス遅延エラーのないレイアウト結果を得られるとい
う効果がある。
【0066】また、論理回路の論理変更や配置配線処理
の再実行は自動的に行われるため、手作業による論理修
正や配置配線作業を必要とせず、遅延エラーを解消する
ために多大な工数を要するという不都合がない。
【0067】さらに、再度の配置配線処理を行う場合
は、初期レイアウト結果に対するインクリメンタルな配
置配線処理を行うため、処理時間の増加も少なく精度の
高い遅延改善が実現できる。
【0068】また、遅延エラーを除去するために必要な
だけの中継ゲートのみを挿入するため、中継ゲートの追
加による配線収容性の悪化や、消費電力の増加は最小限
に抑えられる。
【0069】また、論理回路の論理を変更して遅延エラ
ーを解消するため、解消できる遅延エラーの限界を大幅
に引き上げることができるという効果がある。
【図面の簡単な説明】
【図1】 本発明の第1実施例によるレイアウト設計装
置の構成を示すブロック図である。
【図2】 本実施例に用いる中継バッファの種類を示す
図である。
【図3】 本実施例に用いる1の中継バッファのドライ
ブ性能を示す図である。
【図4】 図3に示す中継バッファをネットに挿入する
場合の遅延時間の変化の例を示す図である。
【図5】 本実施例に用いる他の中継バッファのドライ
ブ性能を示す図である。
【図6】 図5に示す中継バッファをネットに挿入する
場合の遅延時間の変化の例を示す図である。
【図7】 本実施例に用いるさらに他の中継バッファの
ドライブ性能を示す図である。
【図8】 図7に示す中継バッファをネットに挿入する
場合の遅延時間の変化の例を示す図である。
【図9】 本実施例によりレイアウト設計を行う論理回
路の論理接続関係を示す図である。
【図10】 図9の論理回路に対して本実施例により中
継バッファを追加した論理接続関係を示す図である。
【図11】 本実施例によりレイアウト結果の遅延エラ
ーを解消する工程を示す図であり、中継バッファを挿入
するネットの配線を削除した状態を示す図である。
【図12】 本実施例により図9の論理回路に対するレ
イアウト結果の遅延エラーを解消する工程を示す図であ
り、中継バッファを配置した状態を示す図である。
【図13】 本実施例によりレイアウト結果の遅延エラ
ーを解消する工程を示す図であり、再配線を行った状態
を示す図である。
【図14】 本発明の第2実施例によるレイアウト設計
装置の構成を示すブロック図である。
【図15】 本発明の第3実施例によるレイアウト設計
装置の構成を示すブロック図である。
【図16】 本発明の第4実施例によるレイアウト設計
装置の構成を示すブロック図である。
【図17】 従来のレイアウト設計装置により図9の論
理回路に対するレイアウト設計を行った結果を示す図で
ある。
【符号の説明】
101 制御手段 102 論理/ライブラリ入力手段 103 パス遅延制約入力手段 104 パス遅延解析手段 105 中継バッファ挿入手段 106、901 中継バッファ配置手段 107 インクリメンタル配線手段 108 配置配線結果出力手段 109 論理接続情報 110 物理情報 111 遅延情報 112 パス遅延制約情報 113 配置配線結果情報 902、1102 配置エラー除去手段 1001 中継インバータ挿入手段 1002、1101 中継インバータ配置手段

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】 回路素子の配置及び回路素子間の配線を
    行って論理回路のレイアウト設計を行うレイアウト設計
    装置において、 所定の方法で所定の論理回路のレイアウト設計を行った
    レイアウト結果に対して遅延解析処理を施す遅延解析手
    段と、 前記遅延解析処理の結果、前記レイアウト結果に遅延エ
    ラーが存在する場合に、前記論理回路の論理変更を行っ
    て該遅延エラーを解消する論理変更手段と、 前記論理変更手段による論理変更の結果にしたがって、
    前記レイアウト結果を再配線する再配線手段とを備える
    ことを特徴とするレイアウト設計装置。
  2. 【請求項2】 前記論理変更手段が、前記論理回路の所
    定のネットに必要な遅延特性及びドライブ性能を備えた
    中継ゲートを挿入する中継ゲート挿入手段を備えること
    を特徴とする請求項1に記載のレイアウト設計装置。
  3. 【請求項3】 前記再配線手段が、前記中継ゲート挿入
    手段による挿入結果にしたがって、前記中継ゲートを前
    記レイアウト結果に配置する中継ゲート配置手段を備え
    ることを特徴とする請求項2に記載のレイアウト設計装
    置。
  4. 【請求項4】 前記中継ゲート配置手段が、前記中継ゲ
    ート挿入手段により指定された前記中継ゲートの配置位
    置に他の回路素子が存在する場合に、該配置位置の近傍
    であって、前記中継ゲートを配置可能な位置に前記中継
    ゲートを配置することを特徴とする請求項3に記載のレ
    イアウト設計装置。
  5. 【請求項5】 前記再配線手段が、 前記中継ゲート挿入手段による挿入結果にしたがって、
    前記中継ゲートを前記レイアウト結果に配置する中継ゲ
    ート配置手段と、 前記中継ゲート挿入手段により指定された前記中継ゲー
    トの配置位置に他の回路素子が存在する場合に、前記中
    継ゲートを優先し、前記他の回路素子をずらす配置エラ
    ー除去手段とを備えることを特徴とする請求項3に記載
    のレイアウト設計装置。
  6. 【請求項6】 前記中継ゲートがバッファゲートである
    ことを特徴とする請求項2ないし請求項5に記載のレイ
    アウト設計装置。
  7. 【請求項7】 前記中継ゲートがインバータであり、 前記中継ゲート挿入手段は、前記インバータを偶数個を
    1組として前記ネットに挿入することを特徴とする請求
    項2ないし請求項5に記載のレイアウト設計装置。
JP8131347A 1996-04-27 1996-04-27 レイアウト設計装置 Expired - Fee Related JP2882359B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP8131347A JP2882359B2 (ja) 1996-04-27 1996-04-27 レイアウト設計装置
US08/847,628 US6145116A (en) 1996-04-27 1997-04-28 Layout design apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8131347A JP2882359B2 (ja) 1996-04-27 1996-04-27 レイアウト設計装置

Publications (2)

Publication Number Publication Date
JPH09293094A true JPH09293094A (ja) 1997-11-11
JP2882359B2 JP2882359B2 (ja) 1999-04-12

Family

ID=15055818

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8131347A Expired - Fee Related JP2882359B2 (ja) 1996-04-27 1996-04-27 レイアウト設計装置

Country Status (2)

Country Link
US (1) US6145116A (ja)
JP (1) JP2882359B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008146142A (ja) * 2006-12-06 2008-06-26 Nec Corp 電子回路用プリント基板の設計方法とシステム
US7453289B2 (en) 2002-10-21 2008-11-18 Advantest Corporation Transmission circuit, CMOS semiconductor device, and design method thereof
US11550978B2 (en) 2018-12-17 2023-01-10 Mitsubishi Electric Corporation Circuit design assistance system and computer readable medium

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3175653B2 (ja) * 1997-07-18 2001-06-11 日本電気株式会社 クロストークエラー改善方式及び方法
KR100521289B1 (ko) * 1997-08-20 2005-10-17 가부시키가이샤 히타치세이사쿠쇼 트랜지스터 회로의 타이밍 특성 추출 방법, 타이밍 특성라이브러리를 기억한 기억 매체, lsi의 설계 방법, 및게이트 추출 방법
WO2000033173A1 (en) * 1998-11-30 2000-06-08 Actcon Control Ab Method for inserting objects into a working area in a computer application
US6928401B1 (en) * 1999-06-10 2005-08-09 Hewlett-Packard Development Company, L.P. Interactive repeater insertion simulator (IRIS) system and method
US6477690B1 (en) * 2000-02-18 2002-11-05 Hewlett-Packard Company In-place repeater insertion methodology for over-the-block routed integrated circuits
US6449759B1 (en) * 2000-02-28 2002-09-10 Advanced Micro Devices, Inc. System and method for automatic insertion and placement of repeater buffers on an integrated circuit floor plan
JP2001357090A (ja) * 2000-06-13 2001-12-26 Hitachi Ltd 論理合成方法及び論理合成装置
JP4887552B2 (ja) * 2000-07-04 2012-02-29 富士通セミコンダクター株式会社 Lsiチップのレイアウト設計方法
US6560752B1 (en) * 2000-07-06 2003-05-06 International Business Machines Corporation Apparatus and method for buffer library selection for use in buffer insertion
JP2002134619A (ja) * 2000-10-26 2002-05-10 Sony Corp 集積回路の設計方法、その設計装置、および記録媒体
JP2002366595A (ja) * 2001-06-13 2002-12-20 Fujitsu Ltd 論理回路図設計装置、論理回路図設計方法、記録媒体およびプログラム
US6701506B1 (en) * 2001-12-14 2004-03-02 Sequence Design, Inc. Method for match delay buffer insertion
JP3842228B2 (ja) * 2003-02-27 2006-11-08 Necエレクトロニクス株式会社 半導体集積回路装置と設計自動化装置及び方法並びにプログラム
US6996785B1 (en) 2003-04-25 2006-02-07 Universal Network Machines, Inc . On-chip packet-based interconnections using repeaters/routers
JP4530731B2 (ja) * 2004-06-16 2010-08-25 富士通セミコンダクター株式会社 レイアウト設計装置、レイアウト設計方法、レイアウト設計プログラム、および記録媒体
US8448123B2 (en) * 2010-10-22 2013-05-21 International Business Machines Corporation Implementing net routing with enhanced correlation of pre-buffered and post-buffered routes
KR20180043626A (ko) 2016-10-20 2018-04-30 삼성전자주식회사 버퍼를 포함하는 버스를 라우팅하기 위한 시스템 및 방법

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2735083B2 (ja) * 1991-03-07 1998-04-02 日本電気株式会社 インタラクティブな配置修正方式
JP2695078B2 (ja) * 1991-06-10 1997-12-24 株式会社東芝 データ処理装置クロック信号の分配方法
JPH0540802A (ja) * 1991-08-07 1993-02-19 Nec Corp 配置設計方式
JPH05120377A (ja) * 1991-10-24 1993-05-18 Nec Corp 概略経路決定処理方式
JPH05181938A (ja) * 1991-12-27 1993-07-23 Nec Corp 引きはがし再配線処理装置
US5638291A (en) * 1994-10-14 1997-06-10 Vlsi Technology, Inc. Method and apparatus for making integrated circuits by inserting buffers into a netlist to control clock skew
JP2677256B2 (ja) * 1995-06-26 1997-11-17 日本電気株式会社 遅延最適化方法
JPH0962716A (ja) * 1995-08-18 1997-03-07 Sony Corp 回路設計方法及び回路設計装置
JP3001403B2 (ja) * 1995-09-29 2000-01-24 日本電気株式会社 論理回路のレイアウト方法
US5838580A (en) * 1996-06-20 1998-11-17 Sun Microsystems, Inc. Method of optimizing repeater placement in long lines of a complex integrated circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7453289B2 (en) 2002-10-21 2008-11-18 Advantest Corporation Transmission circuit, CMOS semiconductor device, and design method thereof
JP2008146142A (ja) * 2006-12-06 2008-06-26 Nec Corp 電子回路用プリント基板の設計方法とシステム
US11550978B2 (en) 2018-12-17 2023-01-10 Mitsubishi Electric Corporation Circuit design assistance system and computer readable medium

Also Published As

Publication number Publication date
US6145116A (en) 2000-11-07
JP2882359B2 (ja) 1999-04-12

Similar Documents

Publication Publication Date Title
JP2882359B2 (ja) レイアウト設計装置
US6990646B2 (en) Hold time error correction method and correction program for integrated circuits
US6543041B1 (en) Method and apparatus for reducing signal integrity and reliability problems in ICS through netlist changes during placement
US6405350B1 (en) System and method for improving crosstalk errors via the insertion of delay gates
JP2004172373A (ja) クロストーク修正方法
JP2003044536A (ja) タイミング優先でセル配置するlsiのレイアウト方法及びその装置
US11574101B2 (en) Techniques for providing optimizations based on categories of slack in timing paths
US6090150A (en) Method of designing clock wiring and apparatus for implementing the same
US7148135B2 (en) Method of designing low-power semiconductor integrated circuit
JP5148434B2 (ja) ホールドタイムエラーの収束方法、収束装置、及び収束プログラム
JP4666164B2 (ja) 集積回路の階層設計方法および装置
JP2924766B2 (ja) ホールドタイムエラー除去方式及び方法
JP2970567B2 (ja) 配線電流密度低減システム
JP2001267429A (ja) レイアウト設計装置およびレイアウト設計方法
JP2904270B2 (ja) クロストークエラー抑制方式
JP7139551B2 (ja) 半導体集積回路の設計装置及びその設計用プログラム
JPH09330339A (ja) 自動記述分割装置
JP3230234B2 (ja) 半導体集積回路の遅延調整方法、遅延調整用回路ブロックおよび記録媒体。
JPH06310601A (ja) レイアウト設計方法
JPH08288395A (ja) 配置処理方法及び配置処理装置
JP2002313916A (ja) 半導体集積回路のレイアウト設計装置及びレイアウト設計方法
JP2822741B2 (ja) クロック配線設計方式
JP2000150659A (ja) 半導体集積回路装置のレイアウト設計方法
JP2993488B2 (ja) 集積回路の設計方法、集積回路及び記憶媒体
JP2000231583A (ja) 論理合成方法及び装置

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080205

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090205

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100205

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100205

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110205

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110205

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120205

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees