JPH09246249A - ドライエッチング方法及び半導体装置の製造方法 - Google Patents

ドライエッチング方法及び半導体装置の製造方法

Info

Publication number
JPH09246249A
JPH09246249A JP5740296A JP5740296A JPH09246249A JP H09246249 A JPH09246249 A JP H09246249A JP 5740296 A JP5740296 A JP 5740296A JP 5740296 A JP5740296 A JP 5740296A JP H09246249 A JPH09246249 A JP H09246249A
Authority
JP
Japan
Prior art keywords
film
opening
etching
resist
resist mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5740296A
Other languages
English (en)
Other versions
JP3865323B2 (ja
Inventor
Keiji Etsuno
圭二 越野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP05740296A priority Critical patent/JP3865323B2/ja
Publication of JPH09246249A publication Critical patent/JPH09246249A/ja
Application granted granted Critical
Publication of JP3865323B2 publication Critical patent/JP3865323B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【課題】被エッチング体上に形成されたレジスト膜の開
口を通してフッ素含有ガスを用いた等方性エッチングを
行い、引き続き異方性エッチングを行って被エッチング
体に開口を形成するドライエッチング方法に関し、エッ
チングによるレジストマスクの開口の拡大を抑制する。 【解決手段】被エッチング膜13上のレジスト膜14を
パターニングし、開口15を形成する工程と、レジスト
膜14の開口15の側壁にポリマ膜16aを形成する工
程と、フッ素含有ガスを用い、レジスト膜14の開口1
5を通して被エッチング膜を全膜厚の途中まで等方性エ
ッチングする工程と、異方性エッチングによりレジスト
膜の開口を通して被エッチング膜に開口を形成する工程
とを有する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、ドライエッチング
方法及び半導体装置の製造方法に関し、より詳しくは、
被エッチング体上に形成されたレジスト膜の開口を通し
てフッ素含有ガスを用いた等方性エッチングを行い、引
き続き同じ開口を通して異方性エッチングを行って被エ
ッチング体にテーパを有する開口を形成するドライエッ
チング方法及び半導体装置の製造方法に関する。
【0002】
【従来の技術】現在、半導体装置の製造方法において層
間絶縁膜にコンタクトホールやビアホールを形成する場
合、図9(a)〜(d)に示すように、シリコン酸化膜
3上に形成したレジスト膜4の開口5を通して、例えば
CF4 +O2 やNF3 を用いたダウンフロードライエッ
チングにより等方性エッチングを行ってシリコン酸化膜
3の全膜厚の約半分の膜厚を除去し、凹部6aを形成し
た後、さらに同じレジスト膜4の開口5を通して反応性
イオンエッチングによりシリコン酸化膜3の残りの膜厚
を異方性エッチングし、凹部6aの下で凹部6aに繋が
り、かつ凹部6aより幅の狭い開口6bを形成する。こ
れにより、開口縁部にテーパ6aを有するコンタクトホ
ール6が形成される。なお、1は半導体基板、2は拡散
層である。
【0003】このようなコンタクトホール6では、等方
性エッチングによりコンタクトホール5の開口縁部にテ
ーパ6aが形成されるため、Al配線6の段差被覆率
(ステップカバレージ)が良い。図10(a),(b)
は、反応性イオンエッチングのみによる異方性エッチン
グを行ってコンタクトホール8を形成し、そのコンタク
トホール8を被覆するAl配線7aを形成する方法を示
す断面図である。図9(d)と図10(b)を比較する
とAl配線6の段差被覆率の改善効果は顕著である。
【0004】
【発明が解決しようとする課題】しかしながら、上記図
9(a)〜(d)に示すドライエッチング方法では、コ
ンタクトホール6(6b)の内径が大きくなってしま
う。一例として等方性エッチング前のレジスト膜の開口
の内径が0.6μmであったものが、異方性エッチング
後に形成されたコンタクトホール6(6b)の内径は
0.9μmとなった。このため、微細なコンタクトホー
ルを形成する場合に問題となる。これは、図3(b)に
示すように、等方性エッチングの際にレジスト膜4の表
面に物理的強度の弱いフッ化レジスト層が形成され、そ
の後同じレジスト膜4の開口5aを通して異方性エッチ
ングを行った場合、イオン照射による物理的衝撃によっ
てフッ化レジスト層が剥がれ落ちてしまい、その結果広
がったレジスト膜4の開口5aの内径に従ってシリコン
酸化膜3の開口6bが形成されるためである。
【0005】本発明は、上記の従来例の問題点に鑑みて
創作されたものであり、フッ素含有ガスを用い、レジス
トマスクに従ってエッチングを行う際、エッチングによ
るレジストマスクの開口の拡大を抑制することが可能な
ドライエッチング方法及び半導体装置の製造方法を提供
することを目的とする。
【0006】
【課題を解決するための手段】上記課題は、第1の発明
である、被エッチング膜上のレジスト膜をパターニング
し、開口を形成する工程と、前記レジスト膜の開口の側
壁にポリマ膜を形成する工程と、フッ素含有ガスを用
い、前記レジスト膜の開口を通して前記被エッチング膜
を全膜厚の途中まで等方性エッチングする工程と、異方
性エッチングにより前記レジスト膜の開口を通して前記
被エッチング膜に開口を形成する工程とを有することを
特徴とするドライエッチング方法によって解決され、第
2の発明である、前記等方性エッチングは、ダウンフロ
ーエッチング装置を用いることを特徴とする第1の発明
に記載のドライエッチング方法によって解決され、第3
の発明である、被エッチング膜の材料は、珪素化合物を
主たる成分とする絶縁物を用いることを特徴とする第1
又は第2の発明に記載のドライエッチング方法によって
解決され、第4の発明である、前記ポリマ膜は、10n
m以下の膜厚であって、フッ素が透過しないような膜厚
を有することを特徴とする第1乃至第3の発明のいずれ
かに記載のドライエッチング方法によって解決され、第
5の発明である、被エッチング膜上のレジスト膜をパタ
ーニングし、開口を形成する工程と、前記レジスト膜の
開口の側壁に10nm以下の膜厚であって、フッ素が透
過しないような膜厚のポリマ膜を形成する工程と、フッ
素含有ガスを用い、前記レジスト膜の開口を通して前記
被エッチング膜をエッチングする工程とを有することを
特徴とするドライエッチング方法によって解決され、第
6の発明である、前記ポリマ膜はCHF3 、CF4 +H
2 、CF4 +CHF 3 、C2 6 、C3 8 、C
4 8 、又はCH2 2 をプラズマ化し、反応させて形
成することを特徴とする第1乃至第5の発明のいずれか
に記載のドライエッチング方法によって解決され、第7
の発明である、前記フッ素含有ガスは、NF3 ,CF4
+O2 ,又はSF 6 +O2 であることを特徴とする第1
乃至第6の発明のいずれかに記載のドライエッチング方
法によって解決され、第8の発明である、第1乃至第7
の発明のいずれかに記載のドライエッチング方法により
半導体基板上の絶縁膜にコンタクトホールを形成し、又
は配線層を被覆する層間絶縁膜にビアホールを形成する
ことを特徴とする半導体装置の製造方法によって解決さ
れる。
【0007】本願発明者は、従来例において異方性エッ
チングの後にレジスト膜の開口の内径が広がってしまう
原因について調査した。それによれば、フッ素含有ガス
を用いた等方性ドライエッチング、特にダウンフローエ
ッチングを行うと、レジスト膜の表層にフッ化したレジ
スト層が形成される。このフッ化したレジスト層はフッ
化していないレジスト層に比べて反応性イオンエッチン
グに対する耐性が弱い。このため、反応性イオンエッチ
ングを行っている途中で、活性化した反応ガスによる物
理的な衝撃或いは化学的な反応によって、フッ化したレ
ジスト層が除去されてしまい、レジスト膜の開口の内径
が広がってしまうからであると考えられる。
【0008】本発明のドライエッチング方法において
は、絶縁膜、特に珪素化合物を主成分とする被エッチン
グ材料からなる絶縁膜にテーパを有する開口を形成する
ためフッ素含有ガスを用いた等方性エッチングとそれに
続く異方性エッチングの2段階エッチングが必要な場
合、等方性エッチングの前にレジスト膜の開口の側壁に
ポリマ膜を形成している。従って、フッ素含有ガスによ
りレジスト膜の開口を通して被エッチング体を等方性エ
ッチングしてもレジスト膜の開口の側壁にフッ化したレ
ジスト層が形成されず、これにより、続く異方性エッチ
ングの際エッチングによるレジスト膜の開口の拡大を抑
制することができる。
【0009】更に、ポリマ膜の膜厚を10nm以下であ
ってフッ素を透過させないような膜厚としているので、
レジスト膜の開口は当初と比べて殆ど狭くならず、レジ
スト膜の開口を通して被エッチング体を精度良くエッチ
ングすることができる。また、フッ素含有ガスを用いた
エッチングを行う前にレジスト膜の開口の側壁にポリマ
膜を形成している。従って、レジスト膜の表層にフッ化
したレジスト層が形成されるのを防止し、エッチングに
よりレジスト膜の開口が広がるのを抑制することができ
る。
【0010】更に、ポリマ膜の膜厚を10nm以下とし
ているので、レジスト膜の開口は当初と比べて殆ど狭く
ならず、レジスト膜の開口を通して被エッチング体を精
度良くエッチングすることができる。開口幅の縮小率
は、例えば、0.6μm、即ち600nmの開口幅の場
合3%程度に止まり、0.3μm、即ち300nmの開
口幅の場合7%弱の縮小率に止まる。実際には、続く異
方性エッチングの際に若干開口径が広がる傾向にあるの
で、実用上の開口幅の変化は殆どなく、パターン精度は
極めて良いといえる。
【0011】また、半導体基板上の絶縁膜にコンタクト
ホールを形成し、又は配線層を被覆する層間絶縁膜にビ
アホールを形成する半導体装置の製造方法に本発明のド
ライエッチング方法を適用することにより、コンタクト
ホールやビアホールの拡大を抑制してパターンの微細化
を図り、半導体装置の高密度化を図ることが可能とな
る。
【0012】
【発明の実施の形態】以下に、本発明の実施の形態につ
いて図面を参照しながら説明する。 (1)第1の実施の形態 図1(a)〜(d),図2(a),(b)は、本発明の
第1の実施の形態に係るドライエッチング方法を示す断
面図である。図7は本発明で用いたダウンフローエッチ
ング装置の概略を示す側面図であり、図8は本発明で用
いた平行平板型反応性イオンエッチング装置の概略を示
す側面図である。
【0013】図1(a)は、被エッチング体としてのシ
リコン酸化膜13上にパターニングされたレジストマス
ク14が形成された後の状態を示す。レジストマスク1
4にはコンタクトホールを形成すべき領域に開口15が
形成されている。即ち、シリコン基板11に拡散層12
を形成した後、シリコン基板11表面に熱酸化により膜
厚約800nmのシリコン酸化膜13を形成する。
【0014】次に、シリコン酸化膜13の上に回転塗布
法によりレジストを塗布し、膜厚約1.2μmのレジス
ト膜を形成する。次いで、コンタクトホールを形成すべ
き領域に開口を形成するため、ホトマスクを用いてレジ
スト膜を露光する。続いて、有機溶剤により現像する
と、コンタクトホールを形成すべき領域に直径0.6μ
mの開口15を有するレジストマスク14が形成され
る。
【0015】次に、レジスト膜を温度170℃でベーキ
ングし、硬化させる。なお、レジスト膜の種類により、
ベーキング温度は異なってくる。例えば、ディープUV
レジストの場合110℃,i線用レジストの場合170
℃,g線用レジストの場合110℃,電子線用レジスト
の場合110℃,X線用レジストの場合110〜150
℃である。上記レジストは、必要に応じてUVキュアを
かけながら200℃程度までベーキングされる。
【0016】次いで、図7のマイクロ波ダウンフローエ
ッチング装置のエッチング室35内にレジストマスク1
4が形成されたシリコン基板11を入れ、温調試料台3
7に載せた後、プラズマ生成室34内及びエッチング室
35内を減圧する。同時にシリコン基板11を加熱し、
温度150℃に保持する。所定の圧力に達した後、流量
700SCCMのCHF3 ガスを導入し、圧力を1To
rrに保持する。
【0017】次に、マイクロ波電源31からマイクロ波
導波管32及びマイクロ波透過窓33を介してプラズマ
生成室34内に電力1.4kWのマイクロ波を供給して
CHF3 ガスをプラズマ化する。このとき、マイクロ波
ダウンフローエッチング装置を用いているため、生成さ
れるプラズマ密度が比較的低く、ポリマの形成に好適で
ある。プラズマ密度が高くなると、ガスの解離が進み、
ポリマが形成されにくくなるためである。なお、他に容
量結合型のイオンエッチング装置も生成されるプラズマ
密度が比較的低く、ポリマの形成に好適な装置である。
【0018】この状態を60秒間保持すると、図1
(b)に示すように、レジストマスクの上面及び開口の
側壁に膜厚約5nmのポリマ膜16が形成される。この
とき、同時にレジストマスク14の開口15の底部のシ
リコン酸化膜13表面にもポリマ膜16が堆積する。な
お、ポリマ膜16の形成の際、チャンバ壁等にもポリマ
膜が堆積される。ポリマが繰り返し堆積され、膜厚が厚
くなってくると剥がれてパーティクルの発生原因となる
ので、定期的にチャンバ内で酸素プラズマを生成してチ
ャンバ壁等に付着したポリマを灰化し、除去することが
必要である。従って、ポリマ膜を形成する装置は酸素プ
ラズマを発生させる手段を備えていることが望ましい。
【0019】次に、以下に説明する方法により、図1
(c)に示すように、レジストマスク14の開口15の
底部のポリマ膜16をArガスのプラズマを用いて物理
的に除去する。化学的な反応を起こさないArガスを用
いるのは、折角被着した開口15の側壁のポリマ膜16
がエッチングガスとの反応により除去されないようにす
るためである。従って、エッチングガスとしてArに限
らず、他の不活性ガスを用いてもよい。
【0020】この場合、図8に示す平行平板型反応性イ
オンエッチング装置のエッチング室45内にシリコン基
板11を入れ、電極43上に載せた後、エッチング室4
5内を減圧する。所定の圧力に達した後、エッチング室
45内に流量500SCCMのArガスを導入し、圧力
を0.1Torrに保持する。次いで、電力600W
(3.4W/cm2 )の高周波を対向電極44に印加し
てArガスをプラズマ化する。この状態を15秒間保持
すると、レジストマスク14の開口15の底部のポリマ
膜16が除去され、シリコン酸化膜13が表出する。こ
のとき、同時にレジストマスク14の上面のポリマ膜1
6も除去されるが、少なくともレジストマスク14の開
口15の側壁にはポリマ膜16aが残るので、問題はな
い。
【0021】次に、ダウンフローエッチング装置のエッ
チング室35内にシリコン基板11を入れて、減圧し、
シリコン基板11の温度を150℃に保持する。続い
て、流量400sccmのCF4 ガスと流量100sc
cmのO2 ガスの混合ガスをエッチング室35の上流に
あるプラズマ生成室34内に導入する。エッチング室3
5内の圧力を1Torrに保持し、マイクロ波パワー
1.4kWをプラズマ生成室34のCF4 +O2 の混合
ガスに印加する。マイクロ波の導入によりO2 ガス及び
CF4 ガスが活性化し、フッ素ラジカルが生成される。
生成されたフッ素ラジカルにより、図1(d)に示すよ
うに、レジストマスク14の開口を通してシリコン酸化
膜13が等方性エッチングされる。このとき、シリコン
酸化膜13のエッチング量を全膜厚の約半分程度約40
0nmとし、その膜厚をエッチングするためこの状態を
60秒間保持する。これにより、シリコン酸化膜13に
は開口15の縁がレジストマスク14の下まで広がった
直径約1.4μmの凹部17aが形成される。なお、レ
ジストマスク14の開口15の側壁にはポリマ膜16a
が形成されているので、レジストマスク14の開口15
の側壁にフッ化したレジスト層が形成されない。但し、
レジストマスク14の上面はポリマ膜で被覆されていな
いので、その表層がフッ化することは避けられないが、
問題はない。図中、18はレジストマスク14の上面表
層に形成されたフッ化したレジスト層を示す。
【0022】次いで、平行平板型反応性イオンエッチン
グ装置のチャンバ内の対向電極の一方の電極上に、レジ
ストマスク14がそのまま残されたシリコン基板11を
載せて、減圧し、シリコン基板11の温度を25℃に保
持する。続いて、流量44sccmのCF4 と流量57
sccmのCHF3 の混合ガスをチャンバ内に導入し、
圧力0.1Torrに保持する。
【0023】次いで、対向電極間にRFパワー600W
(3.4W/cm2 )を印加して混合ガスをプラズマ化
する。このプラズマガスにより、図2(a)に示すよう
に、レジストマスク14の開口15を通してシリコン酸
化膜13の残りの膜厚を異方性エッチングする。このと
き、レジストマスク14の開口15の側壁にはポリマ膜
16aが形成されてレジストマスク14の耐性が改善さ
れているため、図3(a)に示すように、CF4 +CH
3 によりレジストマスク14の開口15の側壁はエッ
チングされない。但し、レジストマスク14の上面の表
層にはフッ化したレジスト層18が形成されているの
で、その表層は多少エッチングされるが、問題はない。
【0024】また、レジストマスク14の開口15の幅
はポリマ膜16aの膜厚により直径で約10nm狭く、
約0.59μmとなっているが、当初の開口幅0.6μ
mと比べて殆ど変化がない。その開口15を通して異方
性エッチングすることにより、凹部17aの下でその凹
部17aと繋がり、かつ凹部17aの幅よりも狭い直径
約0.59μmの開口17bが形成される。
【0025】これにより、開口17bの縁部にテーパを
有するコンタクトホール17が形成される。図3(b)
に示す従来の場合当初と比べて直径で約+0.3μmの
開口の拡大があったのと比較して、大幅にパターン精度
の向上を図ることができ、パターンのより微細化を図る
ことができた。その後、図2(b)に示すように、Al
膜を形成した後、パターニングし、コンタクトホール1
7を通して拡散層12と接続する配線層19を形成す
る。
【0026】以上のように、第1の実施の形態のドライ
エッチング方法においては、フッ素含有ガスを用いた等
方性エッチングの前にレジストマスク14の開口15の
側壁にフッ素を透過させないような膜厚5nmのポリマ
膜16を形成している。従って、フッ素含有ガスを用
い、レジストマスク14の開口15を通してシリコン酸
化膜13を等方性エッチングしてもレジストマスク14
の開口15の側壁にフッ化したレジスト層が形成され
ず、これにより、続く異方性エッチングの際エッチング
によるレジストマスク14の開口15の拡大を抑制する
ことができる。
【0027】また、ポリマ膜16aの膜厚が5nmと薄
いので、レジストマスク14の開口15は当初と比べて
殆ど狭くならず、その開口15を通してシリコン酸化膜
13を精度良くエッチングすることができる。更に、上
記ドライエッチング方法によりシリコン基板11上のシ
リコン酸化膜13にコンタクトホール17を形成してい
るので、コンタクトホール17の拡大を防止してパター
ンの微細化を図り、半導体装置の高密度化を図ることが
可能となる。
【0028】(2)第2の実施の形態 第1の実施の形態と異なるところは、ポリマ膜を形成す
るためCHF3 ガスの代わりにCF4 +CHF3 ガスを
用いていることであり、また、ポリマ膜の形成と、Ar
ガスによるレジストマスクの開口の底部のポリマ膜の除
去とを別々の方法・装置により行う代わりに、両方の処
理をともに反応性イオンエッチング装置を用いて連続的
に行っていることである。
【0029】第2の実施の形態について図4(a),
(b)を参照しながら以下に説明する。第1の実施の形
態における図1(a)の工程の後、まず、平行平板型反
応性イオンエッチング装置のエッチング室45内に開口
15を有するレジストマスク14が形成されたシリコン
基板11を入れた後、減圧する。このとき、シリコン基
板11を加熱しない。
【0030】所定の圧力に達した後、流量44sccm
のCF4 と流量57sccmのCHF3 の混合ガスを導
入し、圧力を0.3Torrに保持する。次いで、電力
500W(2.8W/cm2 )の高周波を印加してCF
4 +CHF3 ガスをプラズマ化する。この状態を60秒
間保持すると、図4(a)に示すように、レジストマス
ク14の上面及び開口15の側壁に膜厚約5nmのポリ
マ膜16bが形成される。なお、このときレジストマス
ク14の開口15の底部のシリコン酸化膜13表面にも
ポリマ膜16bが堆積する。
【0031】次いで、図4(b)に示すように、引き続
き、平行平板型反応性イオンエッチング装置のエッチン
グ室45内にシリコン基板11を入れたままレジストマ
スク14の開口15の底部のポリマ膜16をArガスの
プラズマを用いて物理的に除去する。即ち、エッチング
室45内を減圧して所定の圧力に達した後、流量500
SCCMのArガスを導入し、圧力を0.1Torrに
保持する。
【0032】次に、電力600W(3.4W/cm2
の高周波を印加してArガスをプラズマ化する。この状
態を15秒間保持すると、レジストマスク14の開口1
5の底部のポリマ膜16が除去され、シリコン酸化膜1
3が表出する。このとき、レジストマスク14の開口1
5の側壁にはポリマ膜16cが残る。その後、第1の実
施の形態と同様な工程を経て、テーパ17aを有するコ
ンタクトホール17を形成し、更に配線層19を形成
し、コンタクトホール17を通して拡散層12と接続す
る。
【0033】以上のように、第2の実施の形態によれ
ば、CF4 +CHF3 ガスを用いてレジストマスク14
の開口15の側壁にポリマ膜16cを形成している。こ
の場合にも、第1の実施の形態と同様に、レジストマス
ク14の開口15の側壁にポリマ膜16cが形成されて
いるので、等方性エッチングの際フッ化したレジスト層
の形成を抑制することができる。このため、異方性エッ
チングの際プラズマ中のイオンによる物理的な衝撃或い
は化学的な反応に起因するレジストマスク14の開口1
5の内径の拡大が抑制される。
【0034】しかも、ポリマ膜16cの膜厚が5nmと
薄いので、レジストマスク14の開口15は殆ど狭くな
らず、その開口15を通してコンタクトホール17を精
度良くパターニングすることができる。これにより、パ
ターンの微細化を図り、半導体装置の高密度化を図るこ
とが可能となる。 (3)第3の実施の形態 上記第1及び第2の実施の形態では、レジストマスク1
4の開口15の側壁にポリマ膜16a,16cを形成す
る際、レジストマスク14の開口15の底部にポリマ膜
16が形成されたが、第3の実施の形態ではポリマ膜1
6dの堆積条件の調整によりレジストマスク14の開口
15の底部にポリマ膜が形成されないようにしている。
この場合、レジストマスク14の上面及び開口15の側
壁にのみポリマ膜16dが形成される。
【0035】第3の実施の形態について図5(a),
(b)を参照しながら説明する。図1(a)の工程を経
た後、図5(a)に示すように、マイクロ波ダウンフロ
ーエッチング装置のエッチング室35内に開口15を有
するレジストマスク14が形成されたシリコン基板11
を入れた後、減圧する。同時にシリコン基板11を加熱
し、温度150℃に保持する。
【0036】所定の圧力に達した後、流量700SCC
MのCHF3 ガスを導入し、圧力を0.8Torrに保
持する。次いで、電力1.4kWのマイクロ波を印加し
てCHF3 ガスをプラズマ化する。この状態を45秒間
保持すると、レジストマスク14の上面及び開口15の
側壁に膜厚約3nmのポリマ膜16dが形成される。な
お、このときレジストマスク14の開口15の底部のシ
リコン酸化膜13表面にはポリマ膜が堆積しない。
【0037】この場合はレジストマスク14の開口15
の底部のポリマ膜を除去する必要はないので、マイクロ
波ダウンフローエッチング装置のエッチング室35内に
シリコン基板11を入れたまま直ちに開口15を通して
シリコン酸化膜13を等方性エッチングする。即ち、シ
リコン基板11を加熱し、温度150℃に保持する。続
いて、流量400SCCMのCF4 ガスと流量100S
CCMのO2 ガスとをエッチング室35の上流にあるプ
ラズマ生成室34内に導入する。エッチング室35内の
圧力を1Torrに保持し、マイクロ波パワー1.4k
Wをプラズマ生成室34のCF4 +O2 の混合ガスに印
加する。マイクロ波の導入によりO2 ガス及びCF4
スが活性化し、フッ素ラジカルが生成される。生成され
たフッ素ラジカルにより、図5(b)に示すように、レ
ジストマスク14の開口15を通してシリコン酸化膜1
3が等方性エッチングされる。このとき、シリコン酸化
膜13のエッチング量を全膜厚の約半分程度約400n
mとし、その膜厚をエッチングするためこの状態を60
秒間保持する。これにより、シリコン酸化膜13には開
口15の縁がレジストマスク14の下まで広がった直径
約1.4μmの凹部17aが形成される。なお、レジス
トマスク14の開口15の側壁にはポリマ膜16dが形
成されているので、レジストマスク14の開口15の側
壁にフッ化したレジスト層が形成されるのを抑制するこ
とができる。
【0038】その後、第1の実施の形態と同様な工程を
経て、コンタクトホール17を形成し、さらに配線層1
9を形成し、コンタクトホール17を通して拡散層12
と接続する。以上のように、第3の実施の形態によれ
ば、レジストマスク14の開口15の底部にポリマ膜が
形成されないので、第1及び第2の実施の形態で説明し
たような不活性ガスによるエッチングを省略することが
でき、工程の簡略化を図ることができる。
【0039】また、CF4 +O2 を用いた等方性エッチ
ング工程の前にレジストマスク14の開口15の側壁に
ポリマ膜16dを形成しているので、フッ素含有ガスを
用いた等方性エッチングの際、レジストマスク14の開
口15の側壁にフッ化したレジスト層が形成されるのを
抑制することができる。これにより、異方性エッチング
の際プラズマ中のイオンによる物理的な衝撃或いは化学
的な反応に起因するレジストマスク14の開口15の側
壁のエッチングが抑えられ、レジストマスク14の開口
15の内径の拡大が抑制される。
【0040】また、ポリマ膜の膜厚が3nmと薄いの
で、レジストマスク14の開口15は当初と比べて殆ど
狭くならない。このため、その開口15を通してコンタ
クトホール17を精度良く形成することができ、パター
ンの微細化を図ることができる。 (4)第4の実施の形態 上記第1乃至第3の実施の形態では、シリコン基板11
上のシリコン酸化膜13にコンタクトホール17を形成
する場合に本発明を適用しているが、図6(a)〜
(d)に示すように、下部配線層23を被覆する層間絶
縁膜24にビアホール28を形成する場合にも適用する
ことができる。
【0041】図6(a)は層間絶縁膜24のエッチング
の前であって、ポリマ膜27を形成した後の状態を示す
断面図である。同図に示すように、シリコン基板21上
にシリコン酸化膜からなる下地絶縁膜22が形成され、
下部配線層23が形成されている。さらにAl膜からな
る下部配線層23を被覆してシリコン酸化膜からなる層
間絶縁膜24がCVD法等により形成されている。ま
た、下部配線層23上のビアホールを形成すべき領域に
開口26を有するレジストマスク25が層間絶縁膜24
上に形成されている。更に、第1の実施の形態の場合と
同様な方法及び条件でポリマ膜27を形成する。これに
より、レジストマスク25の上面及び開口26の側壁、
そして開口26の底部の層間絶縁膜24の表面はポリマ
膜27で被覆される。
【0042】この様な状態で、図6(b)に示すよう
に、レジストマスク25の開口26の底部のポリマ膜2
7をArガスのプラズマを用いて物理的に除去する。即
ち、平行平板型反応性イオンエッチング装置のエッチン
グ室45内にシリコン基板21を入れて減圧する。所定
の圧力に達した後、流量500SCCMのArガスを導
入し、圧力を0.1Torrに保持する。
【0043】次いで、電力600W(3.4W/c
2 )の高周波を印加してArガスをプラズマ化する。
この状態を15秒間保持すると、レジストマスク25の
開口26の底部のポリマ膜27が除去され、層間絶縁膜
24が表出する。このとき、同時にレジストマスク25
の上面のポリマ膜27も除去されるが、少なくともレジ
ストマスク25の開口26の側壁にはポリマ膜27aが
残っているので、問題はない。
【0044】次に、ダウンフローエッチング装置のエッ
チング室35内にシリコン基板21を入れて、減圧し、
シリコン基板21の温度を150℃に保持する。続い
て、流量400SCCMのCF4 ガスと流量100SC
CMのO2 ガスとの混合ガスをエッチング室35の上流
にあるプラズマ生成室34内に導入する。エッチング室
34内の圧力を1Torrに保持し、マイクロ波パワー
1.4kWをプラズマ生成室34のCF4 +O2 の混合
ガスに印加する。マイクロ波の導入によりO2 ガス及び
CF4 ガスが活性化し、フッ素ラジカルが生成される。
生成されたフッ素ラジカルにより、図6(c)に示すよ
うに、レジストマスク25の開口26を通して層間絶縁
膜24が等方性エッチングされる。このとき、層間絶縁
膜24のエッチング量を全膜厚の約半分程度約400n
mとするためこの状態を60秒間保持する。これによ
り、層間絶縁膜24には開口26の縁がレジストマスク
25の下まで広がった直径約1.4μmの凹部28aが
形成される。なお、レジストマスク25の開口26の側
壁にはポリマ膜27aが形成されているので、レジスト
マスク25の開口26の側壁にフッ化したレジスト層が
形成されるのを防止することができる。但し、レジスト
マスク25の上面はポリマ膜で被覆されていないので、
その表層にフッ化したレジスト層29が形成されるが、
問題はない。
【0045】次いで、平行平板型反応性イオンエッチン
グ装置のエッチング室45内の電極43の上に、レジス
トマスク25がそのまま残されたシリコン基板21を載
せて、減圧する。同時に、シリコン基板21を加熱して
温度25℃に保持する。続いて、流量44sccmのC
4 と流量57sccmのCHF3 の混合ガスをエッチ
ング室45内に導入し、圧力0.1Torrに保持す
る。
【0046】次いで、電極43と対向電極44の間にR
Fパワー600W(3.4W/cm 2 )を印加して混合
ガスをプラズマ化する。このプラズマガスにより、図6
(d)に示すように、レジストマスク25の開口26を
通して層間絶縁膜24の残りの膜厚を異方性エッチング
する。このとき、レジストマスク25の開口26の側壁
にはポリマ膜27aが形成されてレジストマスク25の
耐性が改善されているため、CF4 +CHF3 によりレ
ジストマスク25の開口26の側壁はエッチングされな
い。但し、レジストマスク25の上面の表層はフッ化し
たレジスト層29が形成されているので、多少エッチン
グされるが、問題はない。
【0047】また、レジストマスク25の開口26の幅
はポリマ膜27aの膜厚により直径で約10nm狭く、
約0.59μmとなっているが、当初の開口幅0.6μ
mと比べて殆ど変化がない。その開口26を通して異方
性エッチングすることにより、凹部28aの下でその凹
部28aと繋がり、かつ凹部28aの幅よりも狭い直径
約0.59μmの開口28bが形成される。
【0048】これにより、開口28bの縁部にテーパを
有するビアホール28が形成される。従来の場合と比べ
て、大幅にパターン精度の向上を図ることができ、パタ
ーンのより微細化を図ることができた。その後、第1の
実施の形態と同様な工程を経て、上部配線層を形成し、
ビアホール28を通して下部配線層23と接続する。
【0049】以上のように、第4の実施の形態によれ
ば、CF4 +O2 ガスを用いた等方性エッチングの前
に、レジストマスク25の開口26の側壁にフッ素を透
過させないような膜厚のポリマ膜27aを形成してい
る。従って、レジストマスク25の開口26の側壁の反
応性イオンエッチングに対する耐性が改善されるので、
異方性エッチングの際プラズマ中のイオン衝撃等による
開口26の側壁の後退が抑えられ、レジストマスク25
の開口26の内径の拡大が抑制される。これにより、レ
ジストマスク25に従ってコンタクトホール27を精度
良くパターニングすることができ、パターンの微細化を
図ることができる。
【0050】なお、被エッチング体として、第1乃至第
3の実施の形態では熱酸化によるシリコン酸化膜13を
用い、第4の実施の形態ではCVD法によるシリコン酸
化膜24を用いているが、他の種類の絶縁膜を用いても
よい。この場合、エッチングのためのマスクとしてレジ
ストマスクを用い、かつ等方性エッチングのエッチング
ガスとしてフッ素含有ガスを用いるものであればよい。
【0051】また、ポリマ膜を形成するための反応ガス
としてCHF3 、CF4 +CHF3を用いているが、C
4 +H2 、C2 6 、C3 8 、C4 10、又はCH
2 2 を用いてもよい。
【0052】
【発明の効果】以上のように、本発明のドライエッチン
グ方法においては、テーパを有する開口を形成するため
に等方性及び異方性エッチングの2段階エッチングが必
要な場合に、等方性エッチングの前にレジスト膜の開口
の側壁にポリマ膜を形成している。従って、フッ素含有
ガスを用い、レジスト膜の開口を通して被エッチング体
を等方性エッチングした場合、レジスト膜の開口の側壁
にフッ化したレジスト層が形成されるのを防止すること
ができ、これにより、続く異方性エッチングの際エッチ
ングによるレジスト膜の開口の拡大を抑制することがで
きる。
【0053】また、ポリマ膜の膜厚が10nm以下と薄
いので、レジスト膜の開口は当初と比べて殆ど狭くなら
ず、レジスト膜の開口を通して被エッチング体を精度良
くエッチングすることができる。更に、半導体基板上の
絶縁膜にコンタクトホールを形成し、又は配線層を被覆
する層間絶縁膜にビアホールを形成する半導体装置の製
造方法に本発明のエッチング方法を適用することによ
り、コンタクトホールやビアホールの拡大を防止してパ
ターンの微細化を図り、半導体装置の高密度化を図るこ
とが可能となる。
【図面の簡単な説明】
【図1】本発明の第1の実施の形態に係るドライエッチ
ング方法について示す断面図(その1)である。
【図2】本発明の第1の実施の形態に係るドライエッチ
ング方法について示す断面図(その2)である。
【図3】本発明の実施の形態に係るドライエッチング方
法と従来のドライエッチング方法によるレジストマスク
の断面形状の比較について示す断面図である。
【図4】本発明の第2の実施の形態に係るドライエッチ
ング方法について示す断面図である。
【図5】本発明の第3の実施の形態に係るドライエッチ
ング方法について示す断面図である。
【図6】本発明の第4の実施の形態に係るドライエッチ
ング方法について示す断面図である。
【図7】本発明の実施の形態に係るドライエッチング方
法に用いられるダウンフローエッチング装置について示
す側面図である。
【図8】本発明の実施の形態に係るドライエッチング方
法に用いられる平行平板RIE装置について示す側面図
である。
【図9】従来例に係るドライエッチング方法について示
す断面図である。
【図10】他の従来例に係るドライエッチング方法につ
いて示す断面図である。
【符号の説明】
11,21 シリコン基板、 12 拡散層、 13,22,24 シリコン酸化膜、 14,25 レジストマスク、 15,17b,26,28b 開口、 17a,28a 凹部、 19 配線層、 16,16a〜16d,27,27a ポリマ膜、 17 コンタクトホール、 22 下地絶縁膜、 23 下部配線層、 24 層間絶縁膜、 28 ビアホール、 31 マイクロ波電源、 32 マイクロ波導波管、 33 マイクロ波透過窓、 34 プラズマ生成室、 35,45 エッチング室、 36 グリッド、 37 温調試料台、 41 高周波電源、 42 ブロッキングコンデンサ、 43 電極、 44 対向電極。

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 被エッチング膜上のレジスト膜をパター
    ニングし、開口を形成する工程と、 前記レジスト膜の開口の側壁にポリマ膜を形成する工程
    と、 フッ素含有ガスを用い、前記レジスト膜の開口を通して
    前記被エッチング膜を全膜厚の途中まで等方性エッチン
    グする工程と、 異方性エッチングにより前記レジスト膜の開口を通して
    前記被エッチング膜に開口を形成する工程とを有するこ
    とを特徴とするドライエッチング方法。
  2. 【請求項2】 前記等方性エッチングは、ダウンフロー
    エッチング装置を用いることを特徴とする請求項1に記
    載のドライエッチング方法。
  3. 【請求項3】 被エッチング膜の材料は、珪素化合物を
    主たる成分とする絶縁物を用いることを特徴とする請求
    項1又は請求項2に記載のドライエッチング方法。
  4. 【請求項4】 前記ポリマ膜は、10nm以下の膜厚で
    あって、フッ素が透過しないような膜厚を有することを
    特徴とする請求項1乃至請求項3のいずれかに記載のド
    ライエッチング方法。
  5. 【請求項5】 被エッチング膜上のレジスト膜をパター
    ニングし、開口を形成する工程と、 前記レジスト膜の開口の側壁に10nm以下の膜厚であ
    って、フッ素が透過しないような膜厚のポリマ膜を形成
    する工程と、 フッ素含有ガスを用い、前記レジスト膜の開口を通して
    前記被エッチング膜をエッチングする工程とを有するこ
    とを特徴とするドライエッチング方法。
  6. 【請求項6】 前記ポリマ膜はCHF3 、CF4
    2 、CF4 +CHF3、C2 6 、C3 8 、C4
    8 、又はCH2 2 をプラズマ化し、反応させて形成す
    ることを特徴とする請求項1乃至請求項5のいずれかに
    記載のドライエッチング方法。
  7. 【請求項7】 前記フッ素含有ガスは、NF3 ,CF4
    +O2 ,又はSF6+O2 であることを特徴とする請求
    項1乃至請求項6のいずれかに記載のドライエッチング
    方法。
  8. 【請求項8】 請求項1乃至請求項7のいずれかに記載
    のドライエッチング方法により半導体基板上の絶縁膜に
    コンタクトホールを形成し、又は配線層上の層間絶縁膜
    にビアホールを形成することを特徴とする半導体装置の
    製造方法。
JP05740296A 1996-03-14 1996-03-14 エッチング方法及び半導体装置の製造方法 Expired - Lifetime JP3865323B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP05740296A JP3865323B2 (ja) 1996-03-14 1996-03-14 エッチング方法及び半導体装置の製造方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP05740296A JP3865323B2 (ja) 1996-03-14 1996-03-14 エッチング方法及び半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH09246249A true JPH09246249A (ja) 1997-09-19
JP3865323B2 JP3865323B2 (ja) 2007-01-10

Family

ID=13054654

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05740296A Expired - Lifetime JP3865323B2 (ja) 1996-03-14 1996-03-14 エッチング方法及び半導体装置の製造方法

Country Status (1)

Country Link
JP (1) JP3865323B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11243084A (ja) * 1997-12-16 1999-09-07 Lg Semicon Co Ltd 酸化膜エッチング方法
JP2008518463A (ja) * 2004-10-27 2008-05-29 ラム リサーチ コーポレーション 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法
JPWO2012098759A1 (ja) * 2011-01-17 2014-06-09 住友電気工業株式会社 炭化珪素半導体装置の製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11243084A (ja) * 1997-12-16 1999-09-07 Lg Semicon Co Ltd 酸化膜エッチング方法
JP2008518463A (ja) * 2004-10-27 2008-05-29 ラム リサーチ コーポレーション 水素流量傾斜化によるフォトレジストプラズマコンディショニング工程を含むエッチング方法
KR101335137B1 (ko) * 2004-10-27 2013-12-09 램 리써치 코포레이션 수소 유량 램핑으로 포토레지스트 플라즈마를 컨디셔닝하는 단계를 포함하는 에칭 방법
JPWO2012098759A1 (ja) * 2011-01-17 2014-06-09 住友電気工業株式会社 炭化珪素半導体装置の製造方法

Also Published As

Publication number Publication date
JP3865323B2 (ja) 2007-01-10

Similar Documents

Publication Publication Date Title
US7153779B2 (en) Method to eliminate striations and surface roughness caused by dry etch
WO1999033097A1 (en) Improved techniques for etching an oxide layer
KR100382720B1 (ko) 반도체 식각 장치 및 이를 이용한 반도체 소자의 식각 방법
JP3213803B2 (ja) 高密度プラズマエッチング装置を用いた半導体のスロープコンタクトホール形成方法
JP2988455B2 (ja) プラズマエッチング方法
US5167762A (en) Anisotropic etch method
KR20020041447A (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
US5968278A (en) High aspect ratio contact
JP3865323B2 (ja) エッチング方法及び半導体装置の製造方法
US6803307B1 (en) Method of avoiding enlargement of top critical dimension in contact holes using spacers
JPH10144633A (ja) 半導体装置の製造方法
US6828250B1 (en) Process for etching vias in organosilicate glass materials without causing RIE lag
JP2000164571A (ja) コンタクトホール形成方法およびプラズマエッチング方法
US20040171261A1 (en) Method of etching a silicon nitride film and method of manufacturing a semiconductor device using the same
JPH11330045A (ja) 酸化膜及びシリコン層の積層膜のエッチング方法
JP3080055B2 (ja) ドライエッチング方法
JPH11204500A (ja) 半導体装置の製造方法
KR100317310B1 (ko) 반도체소자의콘택홀형성방법
JPH05217965A (ja) 半導体装置の製造方法
JPH06204192A (ja) シリコン窒化膜のエッチング方法
KR100223760B1 (ko) 반도체 장치의 콘택홀 형성 방법
JPH0353521A (ja) 半導体装置の製造方法
JPH0220021A (ja) 半導体装置の制造方法
JP3550276B2 (ja) 半導体装置の製造方法
KR0172856B1 (ko) 미세패턴 형성방법

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040119

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040309

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040408

A911 Transfer of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20040514

A912 Removal of reconsideration by examiner before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20040604

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061002

R150 Certificate of patent (=grant) or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091013

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091013

Year of fee payment: 3

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101013

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101013

Year of fee payment: 4

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111013

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111013

Year of fee payment: 5

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111013

Year of fee payment: 5

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111013

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121013

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121013

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131013

Year of fee payment: 7

EXPY Cancellation because of completion of term