JPH0897194A - 窒化シリコンのエッチング方法 - Google Patents

窒化シリコンのエッチング方法

Info

Publication number
JPH0897194A
JPH0897194A JP7252033A JP25203395A JPH0897194A JP H0897194 A JPH0897194 A JP H0897194A JP 7252033 A JP7252033 A JP 7252033A JP 25203395 A JP25203395 A JP 25203395A JP H0897194 A JPH0897194 A JP H0897194A
Authority
JP
Japan
Prior art keywords
silicon nitride
etching
silicon
nitride layer
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7252033A
Other languages
English (en)
Inventor
Jung-Hui Lin
ジュン−ヒュイ・リン
Ai Koh
アイ・コー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH0897194A publication Critical patent/JPH0897194A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/40Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the peripheral circuit region
    • H10B41/42Simultaneous manufacture of periphery and memory cells
    • H10B41/43Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor
    • H10B41/44Simultaneous manufacture of periphery and memory cells comprising only one type of peripheral transistor with a control gate layer also being used as part of the peripheral transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B69/00Erasable-and-programmable ROM [EPROM] devices not provided for in groups H10B41/00 - H10B63/00, e.g. ultraviolet erasable-and-programmable ROM [UVEPROM] devices

Abstract

(57)【要約】 【課題】 窒化シリコンのエッチング方法を提供する。 【解決手段】 本発明により、半導体デバイス10内の
窒化シリコン層20は、二酸化シリコン(たとえば、ゲ
ート酸化物層16)とシリコン(たとえば、シリコン基
板12とポリシリコン・ゲート電極18)の両方に対し
て選択的に異方性エッチングされる。窒化シリコン層
は、CF4 ,O2 およびアルゴン・ガスを用いてプラズ
マ・エッチング・システムでエッチングされる。本発明
の他の用途では、このエッチング方法は、ONO誘電体
スタックを除去したり、能動領域と分離領域の両方に対
して選択的に窒化シリコン・エッチング・ストップ層を
除去して、これらの領域にわたって接点またはローカル
相互接続を形成することに使用される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は窒化シリコンのエッチン
グ方法に関し、さらに詳しくは半導体デバイスにおける
窒化シリコン膜のエッチング方法に関する。
【0002】
【従来の技術】窒化シリコンは、エッチング・ストップ
層,マスキング層,サイドウォール・スペーサ,ゲート
誘電体などに使用されるのを含め、種々の理由で半導体
デバイスに使用される。窒化シリコンは半導体デバイス
の製造では極めて多量に使用されるので、デバイスの選
択領域から窒化シリコンを除去するのに、種々のエッチ
ング剤が必要となる。窒化シリコンを除去する際には、
半導体デバイス内の基礎となる層に損傷を与えたり、ま
たはエッチングしたりせずに、窒化シリコンをエッチン
グする必要があることが多い。たとえば、下の二酸化シ
リコン層,下のポリシリコン層,またはシリコン基板に
対して選択的に窒化シリコンを除去する必要があること
が多い。これらの目的を達成する各種のドライ・エッチ
ング剤が存在する。たとえば、二酸化シリコンに対して
選択的に窒化シリコンをエッチングするのに用いられる
通常のドライ・エッチングではSF6 を使用する。別の
例として、CHF3 とO2 の化学的性質を利用して、シ
リコンに対して選択的に窒化シリコンをドライ・エッチ
ングする。上記の例からも明かなように、二酸化シリコ
ンとシリコンに対して選択的に窒化シリコンをエッチン
グするには、異なるドライ・エッチング剤が必要となる
ことが多い。これまで、二酸化シリコンとシリコンの両
方に対して同時に、許容可能な選択性値で、窒化シリコ
ンを選択的にエッチングできるドライ・エッチング剤は
開発されてこなかった。二酸化シリコンとシリコンの両
方に対して選択性を有するドライ窒化シリコンは、半導
体製造の分野、特にローカル相互接続やサイドウォール
・スペーサ技術などの領域で進歩が歓迎される。
【0003】
【発明が解決しようとする課題】本発明により、窒化シ
リコンは、二酸化シリコンとシリコンの両方に対して選
択的にドライ・エッチングできる。エッチングは、プラ
ズマ・エッチング・システムで行い、異方性エッチング
である。このエッチングの選択性と異方性は、アルゴン
などの不活性ガスを添加したCF4 とO2 の気体を使用
することによって達成する。CF4 ,O2 とアルゴン
は、半導体製造でエッチング材料に使用されることは個
々に知られているかもしれないが、本発明によってこれ
らの気体を組み合わせると、先行技術の方法で達成され
なかった成果を達成できる。たとえば、これらの気体を
使用して窒化シリコンをエッチングする先行技術の方法
では、異方性を得ることができず、組み合わせた気体を
使用しても、二酸化シリコンとシリコンの両方に対して
選択的に窒化シリコンをエッチングすることもできなか
った。
【0004】
【課題を解決するための手段】本発明の好適な実施例で
は、エッチング対象の材料からプラズマのダウンストリ
ーム(downstream)を生じず、寧ろ、エッチング対象の
デバイスが配置されるエッチング・チャンバ内でプラズ
マが発生するプラズマ・エッチング・システムを採用す
る。一例として、Applied MaterialのAMT5000な
どのマグネトロン・エッチャー(magnetron etcher)
は、本発明を実施するのに適したエッチング・システム
である。個々のプロセス・パラメータは、使用するプラ
ズマ・エッチング・システムのタイプによって異なる可
能性があるが、マグネトロン・エッチング・システムで
前述の特性を与えるものとして以下のプロセス・パラメ
ータが示された。エッチング・チャンバの気圧は50か
ら300mTorrに保たれ、一方RF電力は、100
から300ワットの間に保たれる。CF4 ガスは毎分2
から8標準立法センチメートル/分(sccm)の速度
でエッチング・チャンバ内に導入される。酸素の流量は
5から35sccmであり、一方不活性ガスの流量は4
0から140sccmである。好適な実施例では、アル
ゴンが不活性ガスとして使用されるが、たとえば、キセ
ノン,ヘリウム,または窒素など、他の不活性ガスも使
用できる。前述のプロセス・パラメータでは、エッチン
グ対象のプラズマCVD(PECVD)窒化シリコン膜
の、酸化物に対する選択性は1.5:1から9:1の範
囲をとり、35の組み合わせのうち33が2:1以上の
選択性を達成する。また前述のプロセス・パラメータで
は、PECVD窒化シリコン膜をポリシリコンに対して
選択的にエッチングする選択性は2.3:1から17:
1の範囲をとり、35の組み合わせのうち34が2.
5:1以上の選択性を達成する。
【0005】上記プロセス・パラメータの範囲内では、
本発明によって窒化シリコンをエッチングするメカニズ
ムは、純粋に化学的なメカニズムとは対照的に、化学的
側面と、物理的ボンバードメント或いはスパッタリング
要素も共に関わる。このため、このエッチングは、反応
性イオン・エッチング(RIE)として分類できる。物
理的エッチングのメカニズムの特徴は、使用したエッチ
ング・システムがダウンストリーム・エッチャーではな
いという事実、気圧が比較的低く保たれているという事
実、窒化シリコンが異方性にエッチングされるという事
実、エッチング・チャンバ内のイオン・エネルギーが比
較的高いという事実(約200ボルト)に帰す可能性が
ある。
【0006】上記のプロセス・パラメータ内の各種組み
合わせは、二酸化シリコンとシリコンの両方に対して窒
化シリコンを選択的にエッチングするのに使用して成果
を上げることができるが、より好適なパラメータの範囲
は以下の通りである:エッチング・チャンバの気圧が1
50から300mTorr;RF電力が100から30
0ワット;CF4 の流量が2から8sccm;O2 の流
量が20から35sccm、不活性ガス(アルゴン)の
流量が40から140sccnである。好適なプロセス
・パラメータの範囲内では、酸化物に対する窒化シリコ
ンの選択性は、約6:1から10:1であり、一方、シ
リコンに対する窒化シリコンの選択性は約12:1から
17:1である。酸化物に対する窒化シリコンの選択性
8:1、ポリシリコンに対する窒化シリコンの選択性1
6:1を達成するマグネトロン・エッチング・システム
内で使用される正確なプロセス・パラメータのセットは
以下の通りである:気圧は150mTorrに等しい;
RF電力は200ワットに等しい;CF4 の流量は3s
ccmに等しい;O2 の流量は30sccmに等しい;
および不活性ガス(アルゴン)の流量は60sccmに
等しい。常に、マグネトロン・エッチング・システムに
使用される磁場は30ガウスに保たれる;しかし、磁場
の増減はそれぞれエッチング速度を増減するだけで、エ
ッチング選択性に悪影響を与えないことが予想される。
したがって、本発明は、磁場が存在しなくても許容可能
な選択性レベルを達成できる。また、上記のプロセスの
結果得られるエッチング選択性は、フォトレジスト・マ
スクが存在する中で窒化シリコンをエッチングする際に
発生すること、また適所にレジスト・マスクがない場合
のエッチング選択性は、エッチング・チャンバ内のポリ
マーの濃度が低いために低くなる可能性があることに注
意されたい。
【0007】上述した本発明では、どのようにして二酸
化シリコンとシリコンの両方に対して選択性を達成し、
異方性エッチングを達成するかについて正確なメカニズ
ムが完全には理解されていないが、実験により、いくつ
かの傾向が認められた。上記に指定した範囲内で酸素の
流量が増えるにつれて(他のプロセス・パラメータを変
化させずに)、二酸化シリコンとポリシリコンの両方に
対する窒化シリコンの選択性が増す。上記の指定した範
囲内でRF電力のみを変化させると、酸化物とポリシリ
コンの両方に対する選択性は最初増加するが、この範囲
の限界に近づくにつれて、両方の選択性が低下し始め
る。他のプロセス・パラメータを変化させずにCF4
流量を増加させると、酸化物とポリシリコンの両方に対
する選択性が減少する傾向がある。アルゴンの流量を増
加させると、酸化物とポリシリコンの両方に対する選択
性が同様に低下した;しかし、低下の率は、CF4 の流
量で認められた傾向に比べて少ない。最後に、上記の指
定した範囲にわたり気圧を上昇させると、酸化物とポリ
シリコンの両方に対する窒化シリコンのエッチング選択
性を増加させる傾向がある。複数の加工変数を一度に変
化させることによって選択性にどのような影響が出るか
については分析されておらず、そのため、各種加工変数
の相互作用は分からない。さらに、実験によれば、二酸
化シリコンとシリコンの両方に対する選択性は、O2
流量が、CF4 の流量の少なくとも3倍(4倍が望まし
い)のとき、および不活性ガス(アルゴン)の流量が少
なくともCF4 の流量の少なくとも15倍(16倍が望
ましい)のとき、上記の指定したプロセス・パラメータ
の範囲内で最適化されることが認められる。
【0008】前述したように、窒化シリコンは、半導体
デバイスの製造において種々の用途を有する。本発明の
上記の用途および利点は、添付図面と併せて、以下の詳
細な説明からより明らかにされよう。図1から図9は、
半導体製造に本発明を使用する用途の3つの例を示す;
しかしながら、他の用途も当然存在する。図は必ずしも
縮尺通りではないこと、また具体的に示されていない本
発明の他の実施例も存在しうることを指摘することは重
要である。これらの図を通して、同様の参照番号は、同
一またはこれに相当する部分を示すのに使用される。
【0009】
【実施例】図1から図3は、本発明を使用した窒化シリ
コンのサイドウォール・スペーサの形成を示す。図1に
示すように、半導体デバイス10は、半導体基板12の
能動領域を含む。基板12内には、ドープ領域14があ
り、これはMOS(金属酸化物半導体)トランジスタの
ソース領域とドレイン領域の働きをする。通常、基板1
2は、単結晶シリコンで形成され、ドープ領域14はP
形またはN形の不純物原子を基板に拡散または埋没させ
ることによって形成される。基板12の上に載るのはゲ
ート酸化物層16であり、これは通常、300オングス
トロームの厚さまで熱的に成長される。ポリシリコン・
ゲート電極18は、ゲート酸化物層の上にパターン化さ
れる。ゲート電極18は、ゲート電極の側面もしくは端
部が、ドープ領域14ときっちりと位置合わせるように
整合されるが、実際の製造では、ドープ領域が通常形成
されるのは、ポリシリコン・ゲート電極がパターン化さ
れた後であり、ゲート電極に対し自己整合される。ゲー
ト電極とドープ領域が基板12上に確定された後、ポリ
シリコンが酸化されて、ゲート電極の上に酸化物層19
を形成できる。ついで窒化シリコン層20が、従来の化
学気相堆積(CVD)を用いて蒸着される。本発明の実
施例に従って、窒化シリコン層20は、自己整合シリサ
イド・プロセスにおいてマスキング層として使用され
る。シリサイドは、ポリシリコン部材とドープ領域の上
に形成されて、これらの部材と、接点などデバイス内の
他の導電部材との間の導電性を向上させることが多い。
自己整合シリサイド・プロセスは、マスクを使用して、
けい化されないデバイスの領域を保護することを伴い、
けい化プロセスは独立したリソグラフィー工程(すなわ
ち、けい化は自己整合される)なしに生じることができ
る。
【0010】本発明によるデバイス10の自己整合けい
化プロセスを達成するため、レジスト・マスク22、た
とえば、フォトレジスト・マスクが図1に示すようにデ
バイスの上に形成される。次に、窒化シリコン層20
が、前述した本発明によるエッチング方法を用いて、適
所に配置したレジスト・マスクにより異方性にエッチン
グされる。図2にその結果の構造を示す。ここで、図1
のレジスト・マスク22によって保護されなかった窒化
シリコン層20の部分は、異方性エッチングされて、ポ
リシリコン・ゲート電極18のサイドウォールに沿って
サイドウォール・スペーサ24を形成し、レジスト・マ
スク22によって保護された窒化シリコン層20の部分
はそのまま残される。エッチングの結果、ゲート酸化物
層16がエッチングされないのは、本発明により窒化シ
リコンをエッチングするのに使用されるエッチング剤が
二酸化シリコンに対して選択的であるからだということ
に注意されたい。プラズマ・エッチングによって窒化シ
リコン層を除去する際には、たとえ、酸化物層がその後
除去するかもしれなくても、下の基板に損傷を与えるこ
とを避けるために、ゲート酸化物層16は除去しないの
が望ましい。
【0011】同様の結果を達成することを試みた先行技
術の方法では、シリコン・ピッチング(pitting )とし
て知られる問題が発生した。シリコン・ピッチングは、
薄い二酸化シリコン層に対して窒化シリコンを選択的に
エッチングしようとした結果生じる。先行技術の薬剤
は、二酸化シリコンに対して選択的に窒化シリコンをエ
ッチングする能力はある。SF6 の使用がその例であ
る。しかしこれらの薬剤の多くは、シリコンに対しては
選択性がなく、下の二酸化シリコン層が損傷を受けた
り、欠陥を含む場合には、二酸化シリコンに対して選択
的に窒化シリコンをエッチングするのに使用されるエッ
チングは、下のシリコンまたはポリシリコン部材もエッ
チングしてしまう。本発明は、たとえゲート酸化物層1
6または酸化物層19が損傷を受けたり、または欠陥を
含んでいても、窒化シリコン層20をエッチングするの
に用いるエッチングが、二酸化シリコンとシリコンの両
方に対して選択性があり、窒化シリコン層20のエッチ
ングが、基板12またはポリシリコン・ゲート電極18
の一部分をエッチングしないので、シリコンとポリシリ
コンのピッチングの問題を克服する。
【0012】窒化シリコン層20が本発明によってエッ
チングされた後、サイドウォール・スペーサ24または
窒化シリコン層20の残りの部分によって保護されなか
ったゲート酸化物層16と酸化物層19の部分がつい
で、従来のウェット・エッチングによって除去されて、
図3に示すようにドープ領域14とゲート電極18の一
部分を露出させる。ドープ領域14の露出部分と露出し
たポリシリコンは、従来のけい化プロセスを受ける。通
常のけい化プロセスは、デバイス全体にわたりチタニウ
ムまたはその他の耐熱金属の蒸着を伴い、金属と、これ
に隣接する部材とが熱的に反応して耐熱金属シリサイド
を形成する。ついでエッチングを使用して、反応したチ
タニウム・シリサイドを除去せずに、チタニウム層の非
反応部分を除去する。図3は、本発明のこの実施例に従
って、ドープ領域14とポリシリコン・ゲート電極18
内に形成されたシリサイド領域26を示す。
【0013】本発明のもう一つの実施例は、半導体デバ
イス内におけるONO(oxide-nitride-oxide )誘電体
スタックの使用を伴う。ONOスタックは時には、不揮
発性メモリ・デバイスのフローティング・ゲートと制御
ゲートの間のゲート誘電体として使用される。この用途
の一例を図4から図6に示す。ここでは、半導体デバイ
ス40の一部分の断面図を示す。デバイス40は、論理
部分44とメモリ部分46を有する半導体基板(たとえ
ば、シリコン・ウエハ)を含む。論理部分とメモリ部分
は、分離領域48によって互いに分離されており、これ
は、従来のフィールド酸化物分離またはトレンチ酸化物
分離でもよい。図4に示すように、薄いゲート酸化物層
50(約85オングストローム)が、基板のメモリ部分
と論理部分の両方の上に成長する。ついで、ポリシリコ
ン・フローティング・ゲート電極がメモリ部分46内の
ゲート酸化物層の上に形成される。次に、下部二酸化シ
リコン層54,窒化シリコン層56および上部二酸化シ
リコン層58を有するONO誘電体スタックが形成され
る。下部二酸化シリコン層54は、シリコンおよび/ま
たはポリシリコンを酸化して、ポリシリコン・フローテ
ィング・ゲート電極52の上に、約220オングストロ
ームの厚さまで熱的に成長される。デバイス40内の他
の場所にもゲート酸化物層50が存在することにより、
これらの領域のさらなる酸化はずっと少なくなり、その
ため、下部二酸化シリコン層54は、ゲート電極の上の
部分のみを示す。窒化シリコン層56はついで、従来の
CVDを用いて、図4に示すようにデバイス全体にわた
り、約150オングストロームの厚さまで蒸着される。
上部二酸化シリコン層58は、窒化シリコン層を酸化す
ることによって形成されて、厚さ約30オングストロー
ムの極めて薄い酸化物層を作る。
【0014】前述したようなONO誘電体スタックは、
このような誘電体スタックが電荷を保持するという好ま
しい機能を有するために、不揮発性メモリ内で制御ゲー
トからフローティング・ゲートを分離するのに使用され
ることが多い。しかしながら、このスタックは、デバイ
スの論理部分では使用されず、そのため、通常は除去さ
れる。論理部分44からONOを除去するには、レジス
ト・マスク60、たとえば、フォトレジスト・マスク
が、デバイス40のメモリ部分の上に形成され、論理部
分のONO(またはON)スタックの非マスク部分はエ
ッチングによって除去される。ONO(またはON)を
除去する際の問題は、下の基板に損傷を与える可能性で
ある。たとえば、図4を参照して、論理部分44の上の
窒化シリコン層56を除去する際、ゲート酸化物層50
をストップさせるために、二酸化シリコンに対して選択
性のあるドライ・エッチングを用いることができる。し
かしながら、前述したように、二酸化シリコンに対して
選択性のある既知のドライ・エッチングは、シリコンに
対して選択性がなく、ゲート酸化物層50内の損傷また
は欠陥が、下の基板のピッチングを招くおそれがある。
このような基板への損傷は望ましくない。それは、その
後成長する酸化物層の完全性に悪影響を及ぼすからであ
る。代わりにシリコンに対してのみ選択性のあるドライ
・エッチングを使用して、窒化シリコン層56とゲート
酸化物層50が論理部分44の上から同時に除去される
ようにできる。しかし、これも、エッチングがドライ・
エッチングなので、シリコンに対する選択性にも拘ら
ず、基板にある程度損傷を与える。この問題を克服する
既知の方法は、メモリ・デバイスよりもデバイスの論理
部分に、より厚い犠牲酸化物層(例:400オングスト
ローム)を形成して、シリコンに対して選択性のあるプ
ラズマ・エッチングを用いて論理領域内のONO(また
はON)を除去する際、より厚い犠牲酸化物層を通して
穴が開いたり、シリコンに損傷を与える機会を大幅に低
下するようにする。その代わり、プラズマ・エッチング
は、犠牲酸化物層の途中で終わり、ウエット・エッチン
グを用いて、シリコンに損傷を与えずに、犠牲酸化物層
の残り半分をエッチングする。真のゲート酸化物(厚い
犠牲酸化物とは対照的に)がついで論理部分の上で成長
する。明かなように、この問題の解決策は、厚さの異な
るデバイスの論理部分とメモリ部分から成る2つの異な
る初期酸化物層を成長させなければならない工程の追加
を伴う。
【0015】本発明では、前述の追加工程が必要ない。
図4に示すように、厚さが一様の薄いゲート酸化物層5
0は、デバイスの論理部分とメモリ部分の両方の上に形
成できる。本発明により、上部酸化物層58と窒化シリ
コン層56は、前述した新案のエッチング方法を用い
て、論理部分44の上の、デバイス40の非マスク部分
から除去される。このエッチングは酸化物に対して選択
性があるが、上部酸化物層58は充分薄いので(約30
オングストローム)、エッチングによってこの層を除去
するようにする。しかしながら、エッチングは充分選択
性があるので、図5に示すように、窒化シリコン層56
がゲート酸化物層50に対して選択的に除去できるよう
にする。加えて、このエッチングはまたシリコンに対し
ても選択性があるので、たとえ、ゲート酸化物層50が
損傷を受けたり、欠陥があっても、論理部分44内で下
の基板42に損傷を与えるおそれがない。
【0016】図6は、ONO(またはON)スタックが
デバイス論理部分から除去された後、デバイス40の加
工が、どのように進められるかを示したものである。デ
バイスのメモリ部分よりも、デバイスの論理部分で、よ
り厚い真のゲート酸化物層が必要である。そのため、熱
酸化工程を用いて、ゲート酸化物層62を約350オン
グストロームまで成長させる。これは、最初のゲート酸
化物層50を従来のウェット・エッチングを用いて上記
論理部分44から除去し、新しいゲート酸化物層62を
成長させることによって達成するのが望ましい。ついで
第2ポリシリコン層が蒸着され、パターン化されて、論
理部分44内にゲート電極64を、またメモリ部分46
内にフローティング・ゲート電極52の上に載る制御ゲ
ート電極66を形成する。ONO誘電体スタックは、電
荷蓄積機能を向上させるために、制御ゲート電極から、
フローティング・ゲート電極52を分離する。
【0017】本発明のもう一つの用途は、半導体デバイ
スのローカル相互接続の形成に有用である。図7から図
9は、ローカル相互接続が本発明によって形成される半
導体デバイス70の一部分の断面図を示したものであ
る。図7に示すように、デバイス70は、能動領域74
と分離領域76を有する半導体基板72を含む。分離領
域76は、従来のトレンチ形分離方法に従って二酸化シ
リコンによる形成されるトレンチ形分離領域が望ましい
が、その代わりに、従来のフィールド酸化物としても形
成できる。能動領域74は、P+ドープ領域80が中に
形成されているN井戸78を含む。これに代わり、N+
ドープ領域を、能動領域74内のP井戸内に形成しても
よい。ドープ領域80はまた、シリサイド領域82、た
とえばチタニウム・シリサイド層を、ドープ領域の上に
含めることができる。
【0018】分離領域76の上に形成されるのがポリシ
リコン・ライン84である。デバイス70内のどこか
で、ポリシリコン・ラインは、トランジスタのゲート電
極となる可能性が高い。しかし、このような電極をデバ
イスの他の部分と接続する必要があることも多い。この
場合、ポリシリコン・ラインは分離領域にわたって経路
設定できる。経路設定したポリシリコン・ラインを、能
動領域の一部分と電気的に接続することが望ましいこと
が多い。この接続を達成する1つの方法は、ローカル相
互接続の使用による。この状況でローカル相互接続を形
成する既知の方法は、PSG(ホスホ・シリケート・ガ
ラス)またはBPSG(ほう素ドープ・ホスホ・シリケ
ート・ガラス)をデバイスの上に蒸着し、ポリシリコン
・ラインと、接続対象の能動領域の部分の両方を露出す
る誘電体層の穴をエッチングすることを伴う。このエッ
チングに伴う問題は、下にある分離領域76に対して選
択的にPSGまたはBPSGをエッチングすることが難
しいことで、これは両方とも二酸化シリコンによって構
成される可能性があるからである。その結果、誘電体層
を除去する際、分離領域76は過剰エッチングまたは凹
みが付いて、これにより、能動領域内に形成されたデバ
イス内に漏れ問題を生じる。
【0019】本発明は、窒化シリコン・エッチング・マ
スクの使用と併せて、二酸化シリコンとシリコンの両方
に対して選択性のあるエッチングを採用することによっ
て、このような漏れの問題を克服する。図7に示すよう
に、本発明により、ポリシリコン・ライン84は、分離
領域76の上で経路設定される。ポリシリコン・ライン
84の隣接するサイドウォールはオプションとしてのサ
イドウォール・スペーサ86である。ポリシリコン・ラ
インは選択的に、シリサイド領域82も含むことができ
る。ついで窒化シリコン層がデバイス70の上に蒸着さ
れ、能動領域74,分離領域76,およびポリシリコン
・ライン84の上を含む。本発明の好適な実施例では、
窒化シリコン層88は、当業者に知られているようなプ
ラズマCVD(PECVD)を用いて蒸着される。次
に、窒化シリコン層88の上に、誘電体層90が蒸着さ
れる。誘電体層90は、BPSG,PSG,TEOS
(テトラ- エチル- オルト- シリケート),SOG(ス
ピン・オン・ガラス)または同様の誘電材料でもよい。
レジスト・マスク92、たとえばフォトレジスト・マス
クが誘電体層90の上に形成されて、レジスト・マスク
内の開口部94が、ローカル相互接続を形成すべき適正
な領域を確定するようにする。パターン化したレジスト
・マスクが適所にある場合には、誘電体層90は、開口
部94内で窒化シリコン層88に対して選択的にエッチ
ングされる。これは、業界では既知のいくつかの方法の
いずれかを用いて、たとえば、使用したCHF3 とアル
ゴンをドライ・エッチングすることによって、窒化シリ
コンに対して選択的に二酸化シリコンまたは同様の材料
をエッチングして達成できる。このエッチングの結果、
開口部94内の誘電体層90は除去される。しかしなが
ら、窒化シリコン層88は開口部94内でそのまま残
る。この時点で、開口部94内の窒化シリコン層88の
露出部分は、前述した新案のエッチング・プロセスによ
ってエッチングされて、図8に示すようにドープ領域8
0とポリシリコン・ライン84(または存在すればシリ
サイド領域82)を露出させる。窒化シリコン層は、本
発明に従って異方性にエッチングされ、窒化シリコン
が、二酸化シリコン(分離領域76)とシリコン(ドー
プ領域80,ポリシリコン・ライン84,シリサイド領
域82)の両方に対して選択的にエッチングされる。エ
ッチングは異方性なので、窒化シリコンの残留している
サイドウォール・スペーサ96は、ポリシリコン・ライ
ン84の側面に隣接して、または存在する場合にはサイ
ドウォール・スペーサ86に沿って形成できる。図7に
示すのと同様のローカル相互接続構造を形成するのに使
用する先行技術のプロセスでは、窒化シリコン層を除去
するのに使用するエッチングは、結果として分離領域7
6を形成するのに使用される二酸化シリコン、または能
動領域74内のシリコン内の過剰エッチングを生じるこ
とが多い。しかしながら、本発明では、窒化シリコン層
88は、これら両方の領域に対して選択的に除去でき、
これにより、接合漏れの可能性を最小限にする。図8に
示すように、いったん、能動領域と分離領域の上に載る
ポリシリコン部材が露出された場合には、接着剤層97
が開口部94のサイドウォールと下部に沿って形成され
る。図9に示す接着剤層97は、誘電体層90と、その
後に蒸着される導電材料との間の接着を促進するのに使
用される。導電材料は開口部94を充填するのに蒸着さ
れ、これにより、ポリシリコン・ライン84とドープ領
域80を電気的に接続する。一例として、タングステン
層をデバイス70全体に蒸着して、その後、図9に示す
ように、エッチングまたは研磨されてローカル相互接続
を形成できる。タングステンを使用する場合には、適切
な接着剤層97は、チタニウムと窒化チタニウムの組み
合わせを含んでおり、タングステンと、隣接する誘電体
層との接着を促進する。導電材料を使用して、ポリシリ
コン・ラインと能動領域とを電気的に接続するより寧
ろ、代わりに導電材料を、単に能動領域に対する接点を
設けるのに使用できる。たとえば、開口部94は能動領
域74と分離領域76の上にのみパターン化されて、ポ
リシリコン・ライン84など、別の導電部材を包含しな
くてもよい。
【0020】前述の説明およびここに示した図は、本発
明に関連する多くの利点を立証するものである。CF
4 ,O2 ,およびアルゴンなどの不活性ガスを使用して
エッチングする方法は、窒化シリコンを異方性にエッチ
ングする一方で、同時に、下の二酸化シリコンとシリコ
ン部材に対しては選択的にエッチングを行う。前述した
ように、このようなエッチング方法は、半導体デバイス
を製造する各種の用途に有用であり、その中には、薄い
酸化物層の上に載る窒化シリコンのサイドウォール・ス
ペーサを形成すること、薄い酸化物の上のONO誘電体
スタックを除去して、下の基板への損傷を回避するこ
と、能動領域と、誘電分離領域の上に載る導電部材との
間に、ローカル相互接続または接点を形成することが含
まれる。ここでは上記3つの具体的用途のみを図に表
し、説明したが、半導体デバイス内で窒化シリコンが広
域に使用されていることに鑑み、本発明の他の用途も明
らかになると予想される。本発明を使用した多くの用途
に加えて、もう一つの利点は、本発明が製造環境におい
て容易に実現可能なことであり、これは、使用される適
切なプラズマ・エッチング・システムやガスが難なく入
手しやすいからである。さらに、本発明による方法を製
造プロセスに取り入れることは、窒化シリコンをエッチ
ングするとき、先行技術に関係する弱い選択性および等
方性という問題を克服する必要のある既存の多くの工程
を排除するという利点がある。
【0021】このため、前述した必要性と利点を充分に
満たす窒化シリコンのエッチング方法が、本発明により
提供されたことは明かである。本発明は具体的な実施例
を参照して説明してきたが、本発明がこれら図示した実
施例に限定されることを意図するものではない。当業者
は、本発明の意図から逸脱せずに、変形やバリエーショ
ンができることを認識しよう。たとえば、本発明は、い
ずれのタイプの窒化シリコン材料にも適しており、その
中には、標準化学気相堆積(CVD)またはプラズマC
VD(PECVD)を使用して蒸着される窒化シリコン
層も含まれる。また、本発明は、シリコンで構成される
各種の材料に対して窒化シリコンを選択的にエッチング
するのに使用でき、この中には単結晶シリコン,ポリシ
リコン,アモルファス・シリコン,シリサイド、および
各種の方法で形成または蒸着できる二酸化シリコンで構
成される誘電材料が含まれる(これらに限定されない)
ことに注意されたい。同様に、本発明により使用される
不活性ガスはアルゴンが望ましいが、窒素,ヘリウム,
キセノンなど他の不活性ガスも適切に代替できることに
注意されたい。そのため、本発明は、添付請求の範囲に
属するあらゆるバリエーションおよび変形を包含するこ
とを意図している。
【図面の簡単な説明】
【図1】サイドウォール・スペーサが本発明によって形
成される、半導体デバイスの一部分の断面図である。
【図2】サイドウォール・スペーサが本発明によって形
成される、半導体デバイスの一部分の断面図である。
【図3】サイドウォール・スペーサが本発明によって形
成される、半導体デバイスの一部分の断面図である。
【図4】ONO(oxide-nitride-oxide )ゲード誘電体
スタックを含むデバイスの製造に本発明が使用される、
半導体デバイスの一部分の断面図である。
【図5】ONO(oxide-nitride-oxide )ゲード誘電体
スタックを含むデバイスの製造に本発明が使用される、
半導体デバイスの一部分の断面図である。
【図6】ONO(oxide-nitride-oxide )ゲード誘電体
スタックを含むデバイスの製造に本発明が使用される、
半導体デバイスの一部分の断面図である。
【図7】ローカル相互接続が本発明により形成される、
半導体デバイスの一部分の断面図である。
【図8】ローカル相互接続が本発明により形成される、
半導体デバイスの一部分の断面図である。
【図9】ローカル相互接続が本発明により形成される、
半導体デバイスの一部分の断面図である。
【符号の説明】
10 半導体デバイス 12 基板 14 ドープ領域 16 ゲート酸化物層 18 ポリシリコン・ゲート電極 19 酸化物層 20 窒化シリコン層 22 レジスト・マスク 24 サイドウォール・スペーサ 26 シリサイド領域 40 半導体デバイス 42 基板 44 論理部分 46 メモリ部分 48 分離領域 50 ゲート酸化物層 52 ポリシリコン・フローティング・ゲート電極 54 下部二酸化シリコン層 56 窒化シリコン層 58 上部二酸化シリコン層 60 レジスト・マスク 64 ゲート電極 66 制御ゲート電極 70 半導体デバイス 72 半導体基板 74 能動領域 76 分離領域 78 N井戸 80 P+ドープ領域 82 シリサイド領域 84 ポリシリコン・ライン 86 サイドウォール・スペーサ 88 窒化シリコン層 90 誘電体層 92 レジスト・マスク 94 開口部 96 サイドウォール・スペーサ 97 接着剤層

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 単結晶シリコン,ポリシリコン,アモル
    ファス・シリコン,シリサイドおよび二酸化シリコンに
    よって構成される材料群から選択された材料を設ける段
    階と;窒化シリコン層の一部分が前記材料の上にあるよ
    うに、窒化シリコン層を形成する段階と;前記材料に対
    して窒化シリコン層の一部分を選択的に異方性エッチン
    グする段階とによって構成され;前記異方性エッチング
    の段階は、プラズマ・エッチング・システムを使用し、
    CF4 ガス,O2 ガスおよび不活性ガスを使用して実施
    されることを特徴とする、窒化シリコンのエッチング方
  2. 【請求項2】 シリコンで構成される半導体基板を設け
    る段階と;前記半導体基板の上にポリシリコン部材を形
    成し、前記ポリシリコン部材がサイドウォールを有する
    段階と;前記半導体基板と前記ポリシリコン部材の上に
    窒化シリコン層を形成して、前記窒化シリコン層が、前
    記ポリシリコン部材の前記サイドウォールに沿って存在
    するようにする段階と;前記半導体基板をエッチングせ
    ずに、前記窒化シリコン層を異方性エッチングして、前
    記ポリシリコン部材の前記サイドウォールに隣接して、
    サイドウォール・スペーサを形成する段階とによって構
    成され、 前記異方性エッチングの段階は、プラズマ・エッチング
    ・システムと、CF4ガス,O2 ガスと不活性ガスを使
    用して実施されることを特徴とする、半導体デバイスに
    おいて窒化シリコンをエッチングする方法。
  3. 【請求項3】 半導体基板を設ける段階と;前記半導体
    基板の上にフローティング・ゲート電極を形成する段階
    と;酸化物層と窒化シリコン層から成る誘電体スタック
    を、前記フローティング・ゲート電極と前記半導体基板
    の上に形成する段階と;レジスト・マスクによって、前
    記フローティング・ゲート電極の上の前記誘電体スタッ
    クの一部分をマスクして、前記誘電体スタックのマスク
    部分と非マスク部分を作る段階と;前記誘電体スタック
    の非マスク部分内の前記誘電体スタックを除去する段階
    とによって構成され;前記除去段階は、CF4 ガス,O
    2 ガスと不活性ガスを使用するプラズマ・エッチングに
    よって、前記半導体基板はエッチングせずに、前記窒化
    シリコン層の異方性エッチングから構成されることを特
    徴とする、半導体デバイスにおいて窒化シリコンをエッ
    チングする方法。
  4. 【請求項4】 能動領域と、前記能動領域と隣接する分
    離領域を有する半導体基板を設ける段階と;前記能動領
    域と前記分離領域の上に窒化シリコン層を形成する段階
    と;前記窒化シリコン層と,前記能動領域と、前記分離
    領域との上に誘電体層を形成する段階と;前記誘電体層
    内の開口部をパターン化して、前記開口部は、前記能動
    領域の一部分と、前記分離領域の一部の上に載り、前記
    窒化シリコン層の一部を露出させる段階と;前記開口部
    によって露出された前記窒化シリコン層の一部を、前記
    能動領域の一部と前記分離領域の一部に対して選択的
    に、異方性エッチングする段階とによって構成され;前
    記異方性エッチング段階は、CF4 ガス,O2 ガス,お
    よび不活性ガスを使用した前記窒化シリコン層のプラズ
    マ・エッチングによって構成されることを特徴とする、
    半導体デバイスにおける窒化シリコンのエッチング方
    法。
JP7252033A 1994-09-19 1995-09-06 窒化シリコンのエッチング方法 Pending JPH0897194A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US30822494A 1994-09-19 1994-09-19
US308224 1994-09-19

Publications (1)

Publication Number Publication Date
JPH0897194A true JPH0897194A (ja) 1996-04-12

Family

ID=23193086

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7252033A Pending JPH0897194A (ja) 1994-09-19 1995-09-06 窒化シリコンのエッチング方法

Country Status (4)

Country Link
EP (1) EP0706206A3 (ja)
JP (1) JPH0897194A (ja)
KR (1) KR960012359A (ja)
TW (1) TW295695B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100534172B1 (ko) * 1998-12-29 2006-03-14 주식회사 하이닉스반도체 반도체 소자의 게이트 스패이서 형성 방법_
JP2007129060A (ja) * 2005-11-04 2007-05-24 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
KR20230024477A (ko) * 2021-08-11 2023-02-21 한국기계연구원 두께가 제어된 금속-칼코게나이드 박막의 제조방법

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6281562B1 (en) 1995-07-27 2001-08-28 Matsushita Electric Industrial Co., Ltd. Semiconductor device which reduces the minimum distance requirements between active areas
DE19707886C2 (de) * 1997-02-27 2003-12-18 Micronas Semiconductor Holding Verfahren zum Erzeugen von Kontaktlöchern in einer Halbleiteranordnung
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US5904566A (en) * 1997-06-09 1999-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Reactive ion etch method for forming vias through nitrogenated silicon oxide layers
EP1014434B1 (de) * 1998-12-24 2008-03-26 ATMEL Germany GmbH Verfahren zum anisotropen plasmachemischen Trockenätzen von Siliziumnitrid-Schichten mittels eines Fluor-enthaltenden Gasgemisches
US6258648B1 (en) * 1999-02-08 2001-07-10 Chartered Semiconductor Manufacturing Ltd. Selective salicide process by reformation of silicon nitride sidewall spacers
US6346467B1 (en) * 1999-09-02 2002-02-12 Advanced Micro Devices, Inc. Method of making tungsten gate MOS transistor and memory cell by encapsulating
EP1083593A1 (en) 1999-09-10 2001-03-14 Interuniversitair Micro-Elektronica Centrum Vzw Etching of silicon nitride by anhydrous halogen gas
US6878612B2 (en) * 2002-09-16 2005-04-12 Oki Electric Industry Co., Ltd. Self-aligned contact process for semiconductor device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4028155A (en) * 1974-02-28 1977-06-07 Lfe Corporation Process and material for manufacturing thin film integrated circuits
EP0337109A1 (en) * 1988-04-14 1989-10-18 International Business Machines Corporation Method for making contacts
JP2509697B2 (ja) * 1989-04-28 1996-06-26 株式会社東芝 半導体装置およびその製造方法
EP0424299A3 (en) * 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
FR2655194B1 (fr) * 1989-11-28 1992-04-10 Sgs Thomson Microelectronics Procede de fabrication de circuits integres constituant des memoires eprom.
US4978420A (en) * 1990-01-03 1990-12-18 Hewlett-Packard Company Single chamber via etch through a dual-layer dielectric
JP2758754B2 (ja) * 1991-12-05 1998-05-28 シャープ株式会社 プラズマエッチング方法
US5242532A (en) * 1992-03-20 1993-09-07 Vlsi Technology, Inc. Dual mode plasma etching system and method of plasma endpoint detection

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100534172B1 (ko) * 1998-12-29 2006-03-14 주식회사 하이닉스반도체 반도체 소자의 게이트 스패이서 형성 방법_
JP2007129060A (ja) * 2005-11-04 2007-05-24 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置の製造装置、制御プログラム及びコンピュータ記憶媒体
KR20230024477A (ko) * 2021-08-11 2023-02-21 한국기계연구원 두께가 제어된 금속-칼코게나이드 박막의 제조방법

Also Published As

Publication number Publication date
EP0706206A2 (en) 1996-04-10
TW295695B (ja) 1997-01-11
KR960012359A (ko) 1996-04-20
EP0706206A3 (en) 1996-08-21

Similar Documents

Publication Publication Date Title
US6165881A (en) Method of forming salicide poly gate with thin gate oxide and ultra narrow gate width
KR100354115B1 (ko) 고유전 상수 게이트 절연체와 최소 오버랩 캐패시턴스를갖는 mosfet
US7279419B2 (en) Formation of self-aligned contact plugs
US6440817B2 (en) Methods of forming integrated circuitry
US5872063A (en) Self-aligned contact structures using high selectivity etching
US6884736B2 (en) Method of forming contact plug on silicide structure
JPH09172166A (ja) 半導体集積回路の形成方法
JPH0897194A (ja) 窒化シリコンのエッチング方法
JP5068074B2 (ja) 分離領域を有する半導体デバイスを形成するための方法
JPH11186236A (ja) エッチング方法
JP3241020B2 (ja) 半導体装置の製造方法
US20050106887A1 (en) Method for formimg contact holes
US6436746B1 (en) Transistor having an improved gate structure and method of construction
US20020160595A1 (en) Method for forming a silicide gate stack for use in a self-aligned contact etch
US6235619B1 (en) Manufacturing method for reduced semiconductor memory device contact holes with minimal damage to device separation characteristics
JPH11121621A (ja) 自己整列コンタクトホール形成方法
US20040171261A1 (en) Method of etching a silicon nitride film and method of manufacturing a semiconductor device using the same
JPH1167890A (ja) 半導体装置の製造方法
JPH09219394A (ja) 半導体装置の製造方法
JPH0897414A (ja) 半導体装置
JP2822795B2 (ja) 半導体装置の製造方法
JP3132847B2 (ja) 半導体装置の製造方法
JPH07183521A (ja) 半導体装置とその製造方法
JPH0774148A (ja) ドライエッチング方法
JPH11307516A (ja) 半導体装置の製造方法