JPH0728552A - クロック論理レイアウト方法 - Google Patents

クロック論理レイアウト方法

Info

Publication number
JPH0728552A
JPH0728552A JP5170015A JP17001593A JPH0728552A JP H0728552 A JPH0728552 A JP H0728552A JP 5170015 A JP5170015 A JP 5170015A JP 17001593 A JP17001593 A JP 17001593A JP H0728552 A JPH0728552 A JP H0728552A
Authority
JP
Japan
Prior art keywords
wiring
clock
clock signal
signal line
signal lines
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP5170015A
Other languages
English (en)
Inventor
Yusuke Nitta
祐介 新田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP5170015A priority Critical patent/JPH0728552A/ja
Publication of JPH0728552A publication Critical patent/JPH0728552A/ja
Pending legal-status Critical Current

Links

Abstract

(57)【要約】 【構成】セルの配置処理を行った後に、クロス容量を考
慮した等負荷配線によるクロック信号線の配線処理を行
い、その後、クロック信号線以外の信号線の配線処理を
行う。クロック信号線の配線処理では、信号線以外の配
線の概略配線を行ってクロス容量を見積り202、次に
等負荷配線によるクロック信号線の配線処理203を行
う。 【効果】クロス容量を考慮した等負荷配線によるクロッ
ク配線が可能になる。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は配線容量による信号ディ
レイを制御する必要があるクロック信号線のレイアウト
方法に関する。
【0002】
【従来の技術】集積回路の動作速度の高速化に伴い、半
導体チップ内のクロック信号が入力されるセルにクロッ
ク信号が届く時間のずれ(クロックスキュー)の削減が
必要となっている。このクロックスキューの削減を目的
としたクロック論理レイアウト方法として、チップの外
部からクロック信号を入力するクロック入力端子からク
ロック信号が入力される全てのセル(ラッチ)までの信
号到達時間(クロックディレイ)を配線方法により揃え
る方法が提案されている。
【0003】エイチ ツリー(H-tree)を生成する方法
はクロック入力端子から全ラッチ間でのクロック信号線
を全て等しい長さで配線する方法である。即ち、配線長
によりディレイの要因となる配線容量を制御している。
【0004】また、ゼロ スキュー(zero-skew)の方法
はクロック入力端子から全ラッチ間での配線のディレイ
を配線の容量と抵抗を用いたπモデルにより計算してデ
ィレイを揃える方法である。
【0005】これらの方法では、クロック入力端子から
全ラッチ間での配線がツリー(tree)構造をしており、バ
ランスツリーと呼ばれている。
【0006】生成されたクロック配線に対し、全ての信
号線の配線後に配線の負荷容量からディレイ値を計算
し、ディレイチェックが行われる。その結果、クロック
スキュー値が要求値より大きければ配線の負荷容量の調
整が行われる。調整方法として既存の配線に対して配線
領域の空きスペースを利用して枝配線を配線を負荷する
ことでディレイ値の小さい配線に容量を追加する方法が
ある。
【0007】
【発明が解決しようとする課題】しかし、従来のバラン
スツリー生成方法で扱われる配線容量は、配線自体の容
量のみであったため、他の配線とクロック信号線が交差
することにより生じるクロス容量による配線ディレイ値
のばらつきが生じている。そこで、より小さいスキュー
値を得るには、クロス容量をも考慮した配線方法が必要
となる。
【0008】また、バランスツリー生成後に配線ディレ
イを調整する処理を行う処理では配線を行った後のスペ
ースを利用するために、付加する容量が大きくなれば必
ずしも必要量の負荷の追加が可能とは限らないという問
題がある。そこで、バランスツリー生成時の精度を向上
して修正する容量を削減することが必要となる。
【0009】
【課題を解決するための手段】本発明は、クロック信号
以外の信号線に対する概略配線をクロック信号線の配線
を行う前に実施し、その後にクロック信号線を優先的に
配線する方法をとる。
【0010】
【作用】本発明によればクロック信号線に対して他の信
号線が交差する際に生じるクロス容量をクロック信号線
の配線を行う時点で見積ることができ、このクロス容量
も考慮したクロック信号線の等負荷配線が可能になる。
【0011】
【実施例】本発明の実施例としてCBICのブロック内
レイアウトにおいて、クロックスキュー低減化を目的と
したレイアウトを例にとって説明する。
【0012】図1にクロックスキュー低減化を目的とし
たCBICブロック内レイアウト全体のフロー図を示
す。
【0013】ステップ101では、入力データが受け取
られる。入力データは、論理ファイル108,セル情報
が保存されているセルライブラリ107,レイアウト後
のブロックの特性を制御するためのレイアウト条件10
9である。
【0014】ステップ102では、論理ファイル中のセ
ルの割付が行われ、各セルの配置位置データ110が得
られる。
【0015】ステップ103では、論理ファイルを入力
とし、この論理ファイル中のクロック論理部分を対象と
してセル間のクロック信号線の配線が行われる。クロッ
ク信号線を配線する際に、各ラッチ間までの配線ディレ
イが要求値以内に揃うことが必要とされる。そのために
各バッファからファンアウト先の素子までの全配線に対
して付加容量の合わせ込みが行われる。本発明では、付
加容量として各配線固有の容量だけでなく、次のステッ
プで配線される他の信号線とクロック信号線が交差する
ことにより発生するクロス容量があらかじめ概算され
る。このステップでは、クロック論理部分の配線データ
111が得られる。
【0016】ステップ104では、クロック信号以外の
信号線の配線が行われ、配線データ112が得られる。
この処理で行われる概略配線で使用される概略格子は、
ステップ103での概略配線で使用された概略格子と同
一のものとする。また、この際にクロック信号線は配線
禁止領域として扱われる。
【0017】ステップ105では、全信号線の配線後で
のクロック信号線のディレイを計算し、ディレイのずれ
が大きい配線とその入力先のセルの他のファンアウト先
への配線の組を抽出する。この配線の組の最大容量に他
の配線の容量を合わせるために、配線の途中に不足する
ディレイ値に相当する容量を持つ枝配線(アンテナパタ
ーン)を付加する。
【0018】ステップ106では、レイアウト結果とし
て上記の処理で得られたセルの配置データ,クロック配
線を含んだ配線データ113を出力する。
【0019】次に、ステップ103での、詳細な処理を
図2のフローチャートに基づいて説明する。
【0020】ステップ201では、論理ファイル10
8,セルの配置データ110が入力される。
【0021】ステップ202では、セルの配置データ1
10,論理ファイル108からクロック論理以外のセル
が抽出され、これらのセルを対象として概略配線が行わ
れる。概略配線の結果、概略配線格子での配線通過本数
データ206が得られる。このデータからクロック信号
線を配線した場合の各配線での交差数の見積が可能とな
る。
【0022】ステップ202の詳細な処理の説明を図3
のフローチャートを使用して説明する。
【0023】ステップ301では、セルが配置されたブ
ロックに対し概略格子が設定される。配線格子の間隔は
経験的に設定され、レイアウト制約条件として入力され
る。
【0024】ステップ302では、設定された概略格子
上でクロック信号以外の信号線に対して概略配線が行わ
れ、各配線に対しその概略配線径路が得られる。
【0025】ステップ303では、各配線の概略配線径
路からそれぞれの配線格子の各辺での配線通過本数が得
られ配線分布を表すデータ206として内部テーブルに
保存される。
【0026】ステップ203では、配線通過本数データ
206,クロック論理、およびクロック信号を入力する
セルの配置情報が入力され、これらのセルに対してクロ
ック配線の等負荷配線が行われる。等負荷配線中で行わ
れる配線負荷の計算では、配線分布情報から各クロック
配線での交差による容量が求められ配線固有の容量と足
しあわされる。
【0027】ステップ203の詳細な説明を図4を使用
して説明する。ステップ401では、概略配線時に使用
した概略配線格子503を入力してブロック内でのクロ
ック信号線の配線領域504の設定が行われる。クロッ
ク信号線の配線領域には、セル列間の配線領域で概略格
子の間の部分、あるいはその部分に一番近い配線領域が
当てられる。ここで、図5の斜線部分504がクロック
信号線の配線領域である。クロック信号線以外の信号線
はクロック配線領域を横切ることはできるが、それを概
略格子の辺に添って並走させることは禁止とする。配線
領域を概略格子の周辺に設定することで、概略格子を通
過する配線が必ず配線領域を通過することになり、よっ
て配線領域内のクロック信号線と他の信号線の交差回数
を求めることが可能になる。
【0028】図6では、概略格子503の右側に位置す
る配線領域上にクロック配線505が存在する。信号線
601,603は概略格子503の右辺上の何処かを通
過することから、クロック配線505上を通過する可能
性が高い。従って、概略配線後にクロック信号505に
対する他の信号線の交差数2を概算することが可能とな
る。また、配線領域の幅は可変で、その値はクロック信
号線の本数により決められる。
【0029】ステップ402では、クロック信号を入力
する全セル間の配線がクロック配線領域内で最短径路を
通るように行われる。バッファからその出力先素子への
配線はそれぞれ別の配線として扱われる。即ち、バッフ
ァから出力先の素子へ放射状の配線が行われる。
【0030】ステップ403では、配線された全クロッ
ク配線に対して容量の算出が行われる。対象とする配線
中の容量は配線自身が持つ固有の容量と他の配線と交差
することにより生じる容量である。配線固有の容量はプ
ロセス,配線幅に依存し、配線長に定数をかけることに
より計算される。この定数はレイアウト制約条件として
入力される。配線のクロス容量は信号線との交差回数に
対し1回の交差当りの容量をかけることにより求められ
る。1回の交差当りの容量は配線の幅からあらかじめ求
めることができる。交差回数はクロック線の配線径路に
対して概略配線分布情報から径路上を通過する信号線を
抽出することで概算される。また、それ以前に引かれた
クロック信号線との交差回数も配線データから算出さ
れ、容量として付加される。
【0031】ステップ404では、全バッファに対し、
バッファから出力先の素子への全配線中で最大容量とな
るものが抽出される。
【0032】ステップ405では、最大値を持つ配線以
外のクロック配線が、最大値と等しい容量を持つように
再配線される。容量の調節は、ステップ402において
最短径路で配線されたクロック信号線を配線領域内で迂
回させることで行う。
【0033】ステップ204では、クロック信号線の配
線データが出力される。
【0034】
【発明の効果】本発明によれば、配線の交差により生じ
るクロス容量を考慮したクロック信号線の等負荷配線が
可能になる。
【図面の簡単な説明】
【図1】本発明を用いたCBICのブロック内レイアウ
トのフローチャート。
【図2】本発明の配線交差によるクロス容量を考慮した
クロックレイアウトのフローチャート。
【図3】概略配線を実施することにより配線交差数を見
積る処理のフローチャート。
【図4】配線交差によるクロス容量を考慮したクロック
信号線の配線処理のフローチャート。
【図5】本発明を適用したCBICのブロック内の状態
を示す説明図。
【図6】概略格子とクロック配線領域の関係を示す説明
図。
【符号の説明】
101…入力処理、102…配置処理、103…クロッ
ク論理レイアウト、104…配線処理、105…クロッ
クレイアウト修正、106…出力、107…セルライブ
ラリ、108…論理ファイル、109…レイアウト制約
条件、110…配置データ、111…クロック配線デー
タ、112…配線データ、113…レイアウト結果。

Claims (3)

    【特許請求の範囲】
  1. 【請求項1】クロック信号線を自動配線するクロック論
    理レイアウト方法において、クロック信号を配線する以
    前にそれ以外の信号線の概略配線を行い、次に前記クロ
    ック信号線を優先的に配線することで、その後に他の信
    号線の詳細配線で前記クロック信号線と他の信号線が交
    差することにより生じる配線交差による容量を概算する
    ことを特徴とするクロック論理レイアウト方法。
  2. 【請求項2】請求項1において、前記クロック信号線の
    配線領域を概略配線で使用する概略格子から決定し、そ
    の領域内で前記クロック信号線の等負荷配線を行うクロ
    ック論理レイアウト方法。
  3. 【請求項3】配線の交差により生じるクロス容量をクロ
    ック信号線の配線時に考慮することで、他の信号線を含
    めた全ての配線を行った後に行うクロック信号線のディ
    レイ値の調整の際の修正量が削減されることを特徴とす
    るクロック論理レイアウト方法。
JP5170015A 1993-07-09 1993-07-09 クロック論理レイアウト方法 Pending JPH0728552A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5170015A JPH0728552A (ja) 1993-07-09 1993-07-09 クロック論理レイアウト方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5170015A JPH0728552A (ja) 1993-07-09 1993-07-09 クロック論理レイアウト方法

Publications (1)

Publication Number Publication Date
JPH0728552A true JPH0728552A (ja) 1995-01-31

Family

ID=15897021

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5170015A Pending JPH0728552A (ja) 1993-07-09 1993-07-09 クロック論理レイアウト方法

Country Status (1)

Country Link
JP (1) JPH0728552A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110016897A (ko) * 2008-04-24 2011-02-18 그라코 미네소타 인크. 신속 고정 재료 스프레이 장비를 세척하는 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110016897A (ko) * 2008-04-24 2011-02-18 그라코 미네소타 인크. 신속 고정 재료 스프레이 장비를 세척하는 방법

Similar Documents

Publication Publication Date Title
US6496965B1 (en) Automated design of parallel drive standard cells
JPH09212533A (ja) 論理回路の最適化装置及びその方法
JP3420195B2 (ja) クロック配線の設計方法
JP2001357090A (ja) 論理合成方法及び論理合成装置
US5963730A (en) Method for automating top-down design processing for the design of LSI functions and LSI mask layouts
JP2002245109A (ja) 半導体集積回路の設計方法及び設計システム
US7480886B2 (en) VLSI timing optimization with interleaved buffer insertion and wire sizing stages
US20010049814A1 (en) Automatic logic design supporting method and apparatus
JP4015807B2 (ja) タイミングドリブンレイアウト手法
JPH0714927A (ja) 自動配置設計方法および自動配置設計装置
JP3412745B2 (ja) 半導体回路におけるクロック供給装置およびその設計方法
JPH0728552A (ja) クロック論理レイアウト方法
JPH09181187A (ja) 集積回路のクロック配線設計法
US8151232B2 (en) Repeater driven routing methodology
JPH04251961A (ja) Cadによる回路ブロックの配置設計方式
JPH09330339A (ja) 自動記述分割装置
JP3230234B2 (ja) 半導体集積回路の遅延調整方法、遅延調整用回路ブロックおよび記録媒体。
JP2692608B2 (ja) 集積回路配置装置および方法
JP4268694B2 (ja) 配線処理方法及び配線処理装置並びに配線処理プログラム用記録媒体
JP3116915B2 (ja) クロックネットのレイアウト設計変更方式
JP3017038B2 (ja) 半導体集積回路の設計方式
JP3028938B2 (ja) 半導体集積回路のレイアウト方法
CN117764024A (zh) 一种基于h树的时钟树综合优化方法
JPH06232263A (ja) 半導体集積回路における信号伝播遅延時間の最適化方法
JPH0621223A (ja) 半導体集積回路の自動配線方法