JP3420195B2 - クロック配線の設計方法 - Google Patents

クロック配線の設計方法

Info

Publication number
JP3420195B2
JP3420195B2 JP2000292578A JP2000292578A JP3420195B2 JP 3420195 B2 JP3420195 B2 JP 3420195B2 JP 2000292578 A JP2000292578 A JP 2000292578A JP 2000292578 A JP2000292578 A JP 2000292578A JP 3420195 B2 JP3420195 B2 JP 3420195B2
Authority
JP
Japan
Prior art keywords
clock
macro
wiring
delay
macros
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000292578A
Other languages
English (en)
Other versions
JP2002110797A (ja
Inventor
茂樹 米森
Original Assignee
エヌイーシーマイクロシステム株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エヌイーシーマイクロシステム株式会社 filed Critical エヌイーシーマイクロシステム株式会社
Priority to JP2000292578A priority Critical patent/JP3420195B2/ja
Priority to US09/962,135 priority patent/US6609241B2/en
Publication of JP2002110797A publication Critical patent/JP2002110797A/ja
Application granted granted Critical
Publication of JP3420195B2 publication Critical patent/JP3420195B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明はクロック配線の設計
方法に関し、特に機能ブロックを階層化して行うレイア
ウト設計におけるクロック配線の設計方法に関する。
【0002】
【従来の技術】近年の半導体技術の進歩に伴い、LSI
(大規模半導体集積回路)においては一層の高速化、高
集積度化、大規模化が推進されている。特に、論理回路
においては、0.35μm以下のいわゆるディープサブ
ミクロンデザインルールでLSIチップを設計するよう
になってきている。この種の高集積度チップはクロック
として数百MHzから数GHzオーダの周波数を用いる
ものが多い。
【0003】このような高速なLSIにおいては、誤動
作の原因となる機能回路間のクロックの遅延時間の差、
すなわちクロックスキューを削減することが重要であ
る。
【0004】従来、このようなクロックスキューを削減
するためのクロック伝送用の配線(以下クロック配線)
の配線方法(以下クロック配線方法)としては、一般的
に、クロック発生回路の出力端子からクロックの供給対
象である機能ブロックであるセル群までのクロックツリ
ー合成(Clock Tree Synthesis:以
下CTS)を行いスキュー削減を行う方法を用いてい
た。しかし、近年のLSIの規模の増加に伴い、レイア
ウト設計を行うための工数や処理時間が増加し、一度に
レイアウトを行うためには莫大な工数や処理時間を要す
る事態となっている。
【0005】LSI設計は、公知のように、大別して実
現したい動作を機能単位の部品である機能ブロックを定
義し設計する機能設計、機能ブロックを論理回路に変換
する論理設計、及び論理回路をマスクパターンに変換す
るレイアウト設計とから成る。
【0006】配線遅延時間を考慮したLSIチップのレ
イアウト設計においては、機能ブロックを上位階層(以
下トップレベル)と下位階層(以下マクロ)の2階層に
区分することにより階層化し、これらトップレベル内及
びマクロ内の各々のレイアウトを個別に行う階層設計手
法を用い、先にトップレベルのレイアウトを行うトップ
ダウン方式と呼ばれるレイアウト設計法が広く用いられ
ている。
【0007】上記問題を解決し、低コストと短期間での
LSIの開発をするために、トップダウン方式のレイア
ウト設計法を行った場合のクロックスキューを削減する
ことが要求されている。
【0008】この要求に応えるために、特開平4−14
8376号公報(文献1)記載の従来の第1のクロック
配線の設計方法の動作をブロック及び説明図で示す図8
(A)〜(C)を参照すると、この従来の第1のクロッ
ク配線の設計方法は、クロック配線対象の機能ブロック
がクロックネットの出力ブロック1と、2つのマクロ
2,3とから成り、トップレベル配線はクロックネット
の出力ブロック1から2つのマクロ2,3の各々へのク
ロック配線である。クロックネットの出力ブロック1か
ら2つのマクロ2,3の各々にクロック配線を行うた
め、まず、クロックネットの出力ブロック1に設けた出
力端子(以下クロック出力端子)11からマクロ2,3
の各々の中心25,35を結ぶ直線7,8を引き、この
直線7,8とマクロ2,3の各々の周囲辺との交点20
1と301を求める(図8(A))。
【0009】次に、クロック出力端子11からマクロ
2,3の周囲辺上の交点までの最長となる距離を基に、
マクロ2,3の周囲辺上の交点201,302を求め
(図8(B))、最後に交点201,302をマクロ
2,3の各々の外部(クロック)端子位置としてマクロ
内の配置処理、配線処理を行う(図8(C))。以上の
処理により、クロック出力端子11とマクロ2,3の各
々のクロック端子(交点)201,302までの配線が
等長となる。各マクロ2,3内でセルの配置を行うとき
にクロック端子201,302に接続されるセル(以下
クロック端子接続セル)をこれらクロック端子201,
302の近傍に配置することにより、クロック出力端子
11からマクロ2,3の各々の内部のクロック端子20
1,302のクロック端子接続セルまでの距離を等長に
することによりクロックスキューを削減するというもの
である。
【0010】しかし、この従来の第1のクロック配線の
設計方法は、クロック端子近傍に入出力分離用のバッフ
ァが付加されておらず、また、マクロ自身の遅延モデル
を作成していないため、トップダウン方式のレイアウト
設計に必要なトップレベルの遅延計算を高精度で行うこ
とはできない。
【0011】このため、トップレベルのクロック配線を
上述のように等長で行った場合でも、クロック配線に隣
接する配線の相違等による配線の隣接配線間容量の差異
により、クロック出力端子から各マクロのクロック端子
までの遅延値が異なってしまう。その結果、マクロ内配
置を行う際にクロック端子接続セルを端子近傍に配置し
ても、クロック出力端子とマクロ内の複数のクロック端
子接続セルの各々のクロック遅延が等しくならず、クロ
ックスキューが大きくなる場合がある。
【0012】さらに、トップレベルの遅延計算を行う場
合にも、マクロ内の機能ブロック(セル)が未配置とな
っているため、マクロ内の配置配線後の正確な負荷を考
慮したトップレベルの遅延計算を行うことができない。
【0013】また、従来の第2のクロック配線の設計方
法をフローチャートで示す図9を参照すると、この従来
の第2のクロック配線の設計方法は、まず、マクロ配置
を行い(ステップP1)、トップレベルの端子位置を決
定する(ステップP2)。次に、トップレベルのCTS
を行いマクロのクロック端子までのクロックスキューを
合致させ(ステップP3)、トップレベルの配線を実施
し(ステップP4)、各配線の抵抗R及び容量Cの情報
(以下RC情報)F101を抽出する。
【0014】一方、ステップP2の後、各マクロのマク
ロ内配置(ステップP11)を行い、各マクロの遅延が
等しくなるようにマクロ内CTSを行い(ステップP1
2)、マクロ内配線を実施し(ステップP13)、マク
ロ内各配線のRC情報F102を抽出する。
【0015】RC情報F101,F102に基づきクロ
ック出力端子とマクロ内のクロック端子接続セルとの間
のスタティックタイミング解析を行い(ステップP
5)、クロックスキューが所定の規格値以内かの確認を
行う(ステップP6)。
【0016】ステップP6の確認結果不合格ならば、C
TSで追加したバッファの駆動能力変更及びバッファ追
加等で遅延調整を行い(ステップP6)、再度ステップ
P5,P6を行う。
【0017】ステップP6の確認結果合格ならば、クロ
ック配線は完了する。
【0018】この従来の第2のクロック配線の設計方法
では、トップレベル及びマクロ内CTS実施時におい
て、マクロ内の負荷は確定していない。
【0019】このため、最初に、駆動能力最大のバッフ
ァの入力端子容量を負荷してトップレベルのCTSを行
い、トップレベル及びマクロ内のレイアウト終了後にタ
イミング解析を行い、クロックスキューが不合格の場
合、上述のように、CTSで追加したバッファの駆動能
力変更やバッファ追加等で遅延調整を行い、再びタイミ
ング解析を行い、クロックスキューの確認を行うという
作業が発生する。
【0020】その結果、バッファの駆動能力変更後及び
バッファ追加のためのレイアウト変更後に加えて、レイ
アウト終了後とタイミング解析を複数回行う必要があ
り、工数および処理時間が増加する。
【0021】また、クロックスキュー削減のための従来
の第3のクロック配線の設計方法は、最上位層をクロッ
ク配線専用層とし隣接配線間容量を削減することにより
クロックスキューを削減する。
【0022】しかし、この従来の第3のクロック配線の
設計方法はクロック配線専用層を必要とするため、クロ
ック配線の実施による配線混雑が生じないような場合で
もクロック専用配線層を増やす必要がある。その結果、
必ずしも必要としない配線層が増加しコストが増大す
る。
【0023】
【発明が解決しようとする課題】上述した従来の第1の
クロック配線の設計方法は、クロック端子近傍に入出力
分離用のバッファが付加されておらず、また、マクロ自
身の遅延モデルを作成していないため、トップダウン方
式のレイアウト設計に必要なトップレベルの遅延計算を
高精度で行うことはできないため、トップレベルのクロ
ック配線を等長で行った場合でも、クロック配線に隣接
する配線の隣接配線間容量の差異により、クロック出力
端子から各マクロのクロック端子までの遅延値が異なる
結果、マクロ内配置を行う際にクロック端子接続セルを
端子近傍に配置しても、クロック出力端子とマクロ内の
複数のクロック端子接続セルの各々のクロック遅延が等
しくならず、クロックスキューが大きくなり得るという
欠点があった。
【0024】さらに、トップレベルの遅延計算を行う場
合にも、マクロ内の機能ブロックが未配置であるため、
マクロ内の配置配線後の正確な負荷を考慮したトップレ
ベルの遅延計算を行うことができないという欠点があっ
た。
【0025】また、従来の第2のクロック配線の設計方
法は、トップレベル及びマクロ内CTS実施時におい
て、マクロ内の負荷が確定していないため、トップレベ
ル及びマクロ内のレイアウト終了後のタイミング解析・
クロックスキューの確認結果、クロックスキューが不合
格の場合、CTSで追加したバッファの駆動能力変更や
バッファ追加等で遅延調整を行い、再度タイミング解析
・クロックスキュー確認を行うため、バッファの駆動能
力変更後及びバッファ追加のためのレイアウト変更後に
加えて、レイアウト終了後とタイミング解析を複数回行
う必要があり、工数および処理時間が増加するという欠
点があった。
【0026】さらに、従来の第3のクロック配線の設計
方法は、クロック配線専用層を必要とするため、クロッ
ク配線の実施による配線混雑が生じないような場合でも
クロック専用配線層を増やす必要があり、その結果、必
ずしも必要としない配線層が増加しコストが増大すると
いう欠点があった。
【0027】本発明の目的は、レイアウトの変更及び終
了後の複数回のタイミング解析を不要とするとともに専
用のクロック配線層を使用することなく、クロックスキ
ューを削減することができるクロック配線の設計方法を
提供することにある。
【0028】
【0029】
【0030】
【課題を解決するための手段】 請求項記載の発明のク
ロック配線の設計方法は、機能ブロックを上位階層であ
るトップレベルと下位階層であるマクロの2階層に区分
することにより階層化し、これらトップレベル内及びマ
クロ内の各々のレイアウトを個別に行う階層設計手法を
用い、最初にトップレベルのレイアウトを行うトップダ
ウン方式のLSIのレイアウト設計におけるクロック配
線の設計方法において、それぞれマクロ内の配置配線が
なされていない未配置の第1及び第2のマクロの各々の
クロックの供給を受けるクロック端子を設定するマクロ
のクロック端子決定ステップと、前記クロック出力端子
と前記マクロのクロック端子決定ステップで設定した前
記第1及び第2のクロック端子の各々との間の概略配線
を行い配線経路を決定する配線経路の決定ステップと、
前記配線経路の決定ステップで決定した配線経路に基づ
き前記クロック出力端子と前記第1及び第2のクロック
端子の各々との間の配線を行い、各配線の抵抗及び容量
の情報であるRC情報を抽出するトップレベルの配線ス
テップと、前記第1及び第2のマクロの各々の遅延モデ
ルを作成する遅延モデル作成ステップと、前記RC情報
と前記遅延モデルに基づき前記クロック出力端子と前記
第1及び第2のクロック端子の各々間のスタティックタ
イミング解析を行い、前記クロック出力端子と前記第1
及び第2のマクロの各々間のクロック遅延値である第1
及び第2の遅延値をそれぞれ計算するトップレベルの遅
延計算ステップと、前記第1及び第2の遅延値からクロ
ック出力端子と前記第1及び第2のマクロの各々間のク
ロック遅延差を計算する遅延差計算ステップと、前記第
1及び第2のマクロの各々内のレイアウト時に前記第1
及び第2のクロック端子の各々の近傍にバッファ論理と
対応する同一駆動能力のバッファを配置するバッファ配
置ステップと、前記第1及び第2のマクロの各々内を配
置するマクロ内配置ステップと、前記クロック遅延差を
考慮して前記第1及び第2のマクロの各々内のクロック
ツリー合成法によりクロック配線を行うマクロ内クロッ
ク配線ステップとを有することを特徴とするものであ
る。
【0031】また、請求項記載の発明は、請求項
載のクロック配線の設計方法において、前記マクロのク
ロック端子決定ステップが、出力ブロックのクロック出
力端子から第1及び第2のマクロの各々の中心を結ぶ第
1及び第2の直線を引き、前記第1及び第2の直線と前
記第1及び第2のマクロの各々の周辺との交点である第
1及び第2の交点を求め、これら第1及び第2の交点の
各々に前記第1及び第2のマクロの各々の第1及び第2
のクロック端子をそれぞれ設定するものである。
【0032】また、請求項記載の発明は、請求項
載のクロック配線の設計方法において、前記遅延モデル
作成ステップが、前記第1及び第2のマクロのマクロ内
ネットリストの中のクロック端子にライブラリセル記述
ファイルのセル群のバッファ論理を追加して前記第1及
び第2のマクロの各々の遅延モデルを作成するものであ
る。
【0033】また、請求項記載の発明は、請求項
載のクロック配線の設計方法において、前記機能ブロッ
クが、前記クロック出力用のクロック出力端子を備えた
出力ブロックを有するクロックネットと、前記クロック
入力用の前記第1及び第2のクロック端子の各々を備え
る前記第1及び第2のマクロと、前記トップレベル配線
である前記出力ブロックから前記第1及び第2のマクロ
の各々へのクロック配線とを備えて構成される。
【0034】請求項記載の発明のクロック配線の設計
方法は、機能ブロックを上位階層であるトップレベルと
下位階層であるマクロの2階層に区分することにより階
層化し、これらトップレベル内及びマクロ内の各々のレ
イアウトを個別に行う階層設計手法を用い、最初にトッ
プレベルのレイアウトを行うトップダウン方式のLSI
のレイアウト設計におけるクロック配線の設計方法にお
いて、それぞれマクロ内の配置配線がなされていない未
配置の第1及び第2のマクロ及びマクロ内の配置配線済
みの既配置の第3のマクロの各々のクロックの供給を受
けるクロック端子を設定するマクロのクロック端子決定
ステップと、前記クロック出力端子と前記マクロのクロ
ック端子決定ステップで設定した前記第1,第2及び第
3のクロック端子の各々との間の概略配線を行い配線経
路を決定する配線経路の決定ステップと、前記配線経路
の決定ステップで決定した配線経路に基づき前記クロッ
ク出力端子と前記第1及び第2のクロック端子の各々と
の間の配線を行い、各配線の抵抗及び容量の情報である
RC情報を抽出するトップレベルの配線ステップと、前
記第1及び第2のマクロの各々の遅延モデルを作成する
とともに前記第3のマクロの配線情報からこの第3のマ
クロの遅延値を抽出し、この第3のマクロの遅延値を含
めた遅延モデルを作成する遅延モデル作成ステップと、
前記RC情報と前記遅延モデルに基づき前記クロック出
力端子と前記第1及び第2のクロック端子の各々間のス
タティックタイミング解析を行い、前記クロック出力端
子と前記第1及び第2のマクロの各々間のクロック遅延
値である第1及び第2の遅延値をそれぞれ計算するトッ
プレベルの遅延計算ステップと、前記第1及び第2の遅
延値からクロック出力端子と前記第1及び第2のマクロ
の各々間のクロック遅延差を計算する遅延差計算ステッ
プと、前記第1及び第2のマクロの各々内のレイアウト
時に前記第1及び第2のクロック端子の各々の近傍にバ
ッファ論理と対応する同一駆動能力のバッファを配置す
るバッファ配置ステップと、前記第3のマクロ内のセル
群と前記クロック出力端子との間の遅延値と等しくなる
ように、前記第1及び第2のマクロの各々内を配置する
マクロ内配置ステップと、前記クロック遅延差を考慮し
て前記第1及び第2のマクロの各々内のクロックツリー
合成法によりクロック配線を行うマクロ内クロック配線
ステップとを有することを特徴とするものである。
【0035】また、請求項記載の発明は、請求項
載のクロック配線の設計方法において、前記機能ブロッ
クが、前記クロック出力用のクロック出力端子を備えた
出力ブロックを有するクロックネットと、前記クロック
入力用の前記第1及び第2のクロック端子の各々を備え
る前記第1及び第2のマクロと第3のクロック端子を備
えるマクロ内の配置配線済みの既配置の第3のマクロ
と、前記トップレベル配線である前記出力ブロックから
前記第1,第2及び第3のマクロの各々へのクロック配
線とを備えて構成される。
【0036】また、請求項記載の発明は、請求項
記載のクロック配線の設計方法において、前記クロ
ック配線が、前記クロック出力端子と前記第1及び第2
のクロック端子との間に挿入した前記第1及び第2のク
ロック端子の各々に付加した第1及び第2のバッファ駆
動用の第3のバッファを備えて構成される。
【0037】また、請求項記載の発明は、請求項
記載のクロック配線の設計方法において、前記機能
ブロックが、前記クロック出力用のクロック出力端子を
備えた出力ブロックを有するクロックネットと、前記ク
ロック入力用の前記第1及び第2のクロック端子の各々
を備える前記第1及び第2のマクロと、前記トップレベ
ル配線である前記出力ブロックから前記第1及び第2の
マクロの各々へのクロック配線と、予め定めた動作条件
の設定に応じて制御信号を出力する制御回路とを有し、
前記クロック配線が、前記第1及び第2のマクロのいず
れか一方又は両方の入力側に前記制御信号の供給に応じ
て前記クロックの通過を停止させる論理回路を備えて構
成される。
【0038】
【発明の実施の形態】次に、本発明の実施の形態につい
て図面を参照して詳細に説明する。
【0039】本実施の形態のクロック配線の設計方法
は、機能ブロックを上位階層(以下トップレベル)と下
位階層(以下マクロ)の2階層に区分することにより階
層化し、これらトップレベル内及びマクロ内の各々のレ
イアウトを個別に行う階層設計手法を用い、最初にトッ
プレベルのレイアウトを行うトップダウン方式と呼ばれ
るLSIのレイアウト設計におけるクロック配線の設計
方法において、予め上記マクロの遅延モデルを作成し、
この遅延モデルを用いてトップレベルの遅延計算を正確
に行うことにより、設計対象とする機能ブロック内のク
ロック供給対象の複数のマクロの各々間のクロックスキ
ューを削減することを特徴とするものである。
【0040】上記クロック供給対象のマクロにおいて
は、このマクロ内ネットリスト中のクロック端子にライ
ブラリセル記述ファイルのバッファ論理を追加すること
により遅延モデルを作成する。
【0041】一方、レイアウト時には、上記マクロ内ネ
ットリスト中のクロック端子に追加したバッファ論理と
対応する同一駆動能力のバッファ(以下クロックバッフ
ァ)を上記クロック端子近傍に配置する。上記マクロの
遅延モデルと、マクロ内レイアウトを行う際にクロック
バッファを配置する手法とは、トップレベルのクロック
ネットの遅延計算を行うときのマクロ内のクロック端子
負荷とレイアウト後のマクロ内のクロック端子負荷とが
等しくなるという作用がある。
【0042】従って、マクロ内の配置配線が完了してい
ない場合にも最終的なレイアウトと同等の遅延計算を行
うことが可能となり、また、マクロ内のクロックツリー
合成(Clock Tree Synthesis:以下
CTS)実行時にマクロ間の遅延差を考慮したCTSを
行うことにより、各マクロ内のクロックバッファの出力
端子に接続されるセル間のスキューも削減出来る。
【0043】次に、本発明の第1の実施の形態をフロー
チャートで示す図1及び本実施の形態の動作を説明しブ
ロックで示す図2及び図3を参照すると、この図に示す
本実施の形態のクロック配線の設計方法は、まず、マク
ロの端子位置を決定し、トップレベルの配線経路を決定
し、トップレベル配線を実施し、各配線の抵抗R及び容
量Cの情報(以下RC情報)F1を抽出する(ステップ
S1〜S3)。
【0044】一方、マクロ内ネットリストの中のクロッ
ク端子にライブラリセル記述ファイルのセル群のバッフ
ァ論理を追加し、マクロの遅延モデルF2を作成する
(ステップS11,S12)。
【0045】RC情報F1及び遅延モデルF2に基づき
クロック出力端子とマクロのクロック端子間のスタティ
ックタイミング解析を行い、クロック出力端子と各マク
ロ間のクロック遅延差を計算する。また、マクロ内ネッ
トリスト中のクロック端子にバッファを追加し、レイア
ウト階層端子近傍にバッファ論理対応のバッファを配置
し、マクロ内配置を行う。最後に、マクロ内に追加した
バッファの出力端子からマクロ間遅延差を考慮したCT
S配線を実施する(ステップS4〜S9)。
【0046】本実施の形態のクロック配線の設計方法を
適用する機能ブロックの一例をブロックで示す図2
(B)を参照すると、この機能ブロックは、説明の便宜
上従来と共通であり、クロック出力用の出力ブロック1
を有するクロックネットと、2つのそれぞれマクロ内の
配置配線がなされていない未配置のマクロ2,3とから
成り、トップレベル配線としてクロックネットの出力ブ
ロック1から2つのマクロ2,3の各々へのクロック配
線を有するものとする。
【0047】出力ブロック1は、クロック信号出力用の
クロック出力端子11を備え、マクロ2,3の各々はク
ロック出力端子11からクロック配線を経由して供給を
受けるクロック信号入力用のクロック端子21,31を
備える。
【0048】マクロ2,3の各々は複数のセル(素子)
から成るセル群を有し、各セル群は、クロック端子2
1,31に接続するマクロ内クロックネットを経由して
クロックの供給を受ける。しかし、この図の段階では、
マクロ2,3の各々は、これらマクロ2,3の各々内の
ネットリストは確定しておらず、マクロ内セル群も未配
置であり、従って、マクロ内クロックネットに接続され
るセル群の数及び配置位置は確定していない。
【0049】次に、クロック出力端子11からマクロ
2,3の各々のクロック端子21,31までの遅延差を
計算するために、出力端子11からクロック端子21,
31までの遅延計算を行う。この遅延計算の実行時に
は、別途作成した(ステップS12)マクロ2,3の遅
延モデルF2を用い、これらマクロ2,3の各々内の負
荷をレイアウト後の負荷と同一にすることにより、トッ
プレベルの正確な遅延計算を行う(ステップS4)。
【0050】このようにして得たクロック出力端子11
とマクロ2,3の各々間の遅延値(以下マクロ2,3の
遅延値)から遅延差を計算し(ステップS5)、この遅
延差を用い、マクロ2,3の各々内のCTSを行う(ス
テップS9)。
【0051】この機能ブロックを構成するマクロ2,3
を上記のように遅延モデル化した機能ブロックをブロッ
クで示す図2(C)を参照すると、まず、マクロ2の遅
延モデル2Mは、マクロ内のネットリスト中のクロック
端子21に、ライブラリセル記述ファイルのセル群中の
最小駆動能力のバッファ論理22Mを追加することによ
り遅延モデル2Mを作成し、遅延モデル(ファイル)F
2に格納する。同様に、マクロ3のクロック端子31
に、バッファ論理32Mを追加することにより遅延モデ
ル3Mを作成し、遅延モデル(ファイル)F2に格納す
る(ステップ S12)。
【0052】トップレベルのレイアウト後の状態をブロ
ックで示す図3(B)を参照すると、この図において、
マクロ2内のレイアウト後には遅延モデル作成時に追加
したバッファ論理22M対応の同一能力のバッファ22
をクロック端子21近傍に配置し(ステップS6,S
7)、このバッファ22からクロックネットが接続され
るセル群23までのCTSを行う(ステップS8,S
9)。同様に、マクロ3内のレイアウト後に、バッファ
論理32M対応のバッファ32をクロック端子31近傍
に配置し、このバッファ32からセル群33までのCT
Sを行う。
【0053】これらマクロ2,3内のセル群23,33
の配置方法は公知の技術であり、また本発明とは直接関
係しないので、その詳細については説明を省略する。
【0054】次に、図1、図2及び図3を再度参照して
本実施の形態の動作について詳細に説明すると、まず、
ステップS1で、クロックネットの出力ブロック1から
2つのマクロ2,3の各々にクロック配線を行うため、
まず、出力ブロック1のクロック出力端子(以下クロッ
ク出力端子)11からマクロ2,3の各々の中心25,
35を結ぶ直線7,8を引き、この直線7,8とマクロ
2,3の各々の周辺との交点を求め、これら交点の各々
にマクロ2,3の各々のクロック端子21,31をそれ
ぞれ設定する。この時にクロックネット以外の各信号・
電源等のネットに対しても同様の処理を行い端子位置を
決定する(図2(A))。
【0055】次に、ステップS2で、クロック出力端子
11とステップS1で決定したクロック端子21,31
間の概略配線を行い配線経路を決定する。この時にクロ
ックネット以外のネットに対しても同様の処理を行い配
線経路決定を行う。
【0056】次に、ステップS3で、ステップS2で決
定した配線経路に基づき、クロック出力端子11とクロ
ック端子21,31間の配線を行う(図2(B))。こ
の時にクロックネット以外のネットに対しても同様の処
理を行って配線を行い、RC情報F1を抽出する。
【0057】一方、ステップS11で、各マクロ2,3
の遅延モデル2M,3Mの作成を行う。マクロ2の遅延
モデル2Mは、図2(C)に示すように、クロック端子
21のみが存在するマクロ内ネットリスト中のクロック
端子21にライブラリセル記述ファイルのセル群中の最
小駆動能力のバッファ論理22Mを追加することにより
作成する。同様に、マクロ3の遅延モデル3Mを、マク
ロ内ネットリスト中のクロック端子31にバッファ論理
32Mを追加することにより作成する。
【0058】次に、ステップS12で、ステップS11
で作成したネットリストを使用し、遅延モデル2M,3
Mを格納した遅延モデルファイルF2を作成する。
【0059】ステップS4で、この遅延モデルファイル
F3を使用し、クロック出力端子11とクロック端子2
1,31までのスタティックタイミング解析を行い各経
路の遅延値を計算する、トップレベルの遅延計算を行
う。
【0060】ステップS5で、ステップS4のトップレ
ベルの遅延値計算結果からクロック端子21,31間の
遅延差を求める。
【0061】次に、ステップS6で、マクロ2,3内の
レイアウトを行う場合、マクロ2,3のクロック端子2
1,31に遅延モデル作成時に追加したバッファ論理2
2M、32Mと対応する同一能力のバッファ22,32
を追加し、ステップS7で追加したバッファ22,32
の各々をクロック端子21,31の各々の近傍に配置を
行う(図2(C))。
【0062】次に、ステップS8で、マクロ内の配置を
行う(図3(A))。セル群23はマクロ2内に存在
し、クロック端子21に追加されたバッファの出力端子
につながるセル群を示す。また、セル群12はマクロ3
内に存在し、クロック端子31に追加されたバッファの
出力端子につながるセル群を示す。
【0063】次に、ステップS9で、マクロ2,3内の
クロック配線を行う。ステップS5にて各マクロ端子2
1,31間の遅延差が計算されているので、クロック端
子21とセル群23間の配線及びクロック端子31とセ
ル群33間の配線は、その遅延差をそれぞれ考慮したC
TS配線を行う(図3(B))。
【0064】この方法で、クロック端子11とセル群2
3の間及びクロック端子11とセル群33との間のの遅
延が等しくなり、クロックスキューを削減できる。
【0065】また、マクロ2,3内のレイアウト完了後
にマクロ2内に存在するセル群23が変更となり、マク
ロ2内の再レイアウトを行う場合に、マクロ内のクロッ
ク端子21にバッファ22を追加してクロック端子21
近傍に配置することにより上位階層の遅延値は変わらな
いためトップレベル及びマクロ3に関しての変更を行う
必要が無い。
【0066】さらに、本実施の形態のクロック配線の設
計方法は、近年の高集積度のLSIのレイアウト設計効
率を大幅に向上する。
【0067】LSIに搭載可能な素子数が膨大となり、
機能マクロをIP(Intellectual Pro
perty:知的所有権)化し、再利用することにより
生産性の向上を図っている現状がある。他者優位性を保
つ(もしくは引き出す)ために、新規機能マクロは一か
らデザインするため、設計当初はブラックボックス扱い
となる。設計の進捗に合わせ、グレイボックス、ホワイ
トボックスと変遷していく。
【0068】ホワイトボックスとは、必要な機能及び特
性が全て盛り込まれたネットリストでグリッド数及びセ
ル数を正確に算出できるデータであり、ブラックボック
スとは端子情報のみ存在するデータである。グレイボッ
クスとはブラックボックスからホワイトボックスへ変遷
していく途中段階のことである。流用性の高い機能マク
ロは、設計当初より、規模や性能を見積もることができ
るため、グレイボックスからのスタートとなる。つまり
は1チップ全体としては、設計の進捗中に不整合が存在
しても、本実施の形態のクロック配線の設計方法は吸収
することが可能である。
【0069】さらに、隣接配線容量による遅延値の変動
も考慮しているので、レイアウト後にタイミング解析を
行い、スキュー調整のためにバッファの駆動能力の変更
及びバッファを追加して再度タイミング解析を行うため
の工数及び処理時間を削減できる。
【0070】最後に、隣接配線容量の影響による遅延値
の変動をなくすために、例えばメタル6層以上といった
高価なクロック配線専用層を使用する必要がなくなる等
のコスト削減効果がある。
【0071】コスト削減についての参考文献として、社
団法人電子通信学会、進学技法VLD97−77,IC
D97−173,CPSY97−66,FTS87−0
4(1997−10)「サブクオータミクロン時代のL
SI設計技術」がある。
【0072】次に、本発明の第2の実施の形態を図1と
共通の構成要素には共通の参照文字/数字を付して同様
にフローチャートで示す図4を参照すると、この図に示
す本実施の形態の前述の第1の実施の形態との相違点
は、遅延モデル作成ステップS12の代わりに、既配置
マクロの配線情報F3から既配置マクロの遅延値を抽出
し、この既配置マクロの遅延値を含めた遅延モデルF2
Aを作成する遅延モデル作成ステップS12Aと、マク
ロ内配置ステップS8の代わりに配置配線が完了した既
配置マクロ内のセル群とクロックネットのクロック出力
端子間の遅延値と等しくなるように、マクロ内配置が未
了のマクロ内のセル群とクロック出力端子間の配置を行
う未配置マクロ内配置ステップS8Aとを有することで
ある。
【0073】本実施の形態を特徴付けるクロック配線の
適用対象の機能ブロックの第2の例を図2と共通の構成
要素には共通の参照文字/数字を付して同様にブロック
で示す図5を参照すると、この図に示す本実施の形態の
機能ブロックの前述の第1の実施の形態との相違点は、
第1の実施の形態と共通の未配置のマクロ2,3に加え
て、配置配線が完了している既配置マクロであるマクロ
4をさらに有することである。
【0074】本実施の形態では、マクロ4は、既に端子
位置が固定されているので、ステップS1の端子位置の
決定の処理はマクロ2,3についてのみ行う。また、マ
クロ4は配置配線が完了し、従ってマクロ4内の遅延値
は確定しているので、ステップS12Aでは、既配置マ
クロの遅延情報F3から抽出したマクロ4内の遅延値を
考慮した遅延計算を行い、このマクロ4の遅延値を含め
た遅延モデルをF2Aを作成する。
【0075】ここで、クロック出力端子11とマクロ4
内のセル群43までの遅延が確定するので、ステップS
8Aで、マクロ2,3の各々内のクロック配線を、クロ
ック出力端子11とセル群23,セル群33の間の遅延
値がクロック出力端子11とセル群43との間の遅延値
と等しくなるようにクロック出力端子11とセル群2
3,33の各々の配線を行う。
【0076】これにより、機能ブロック中のある1つの
マクロが配置配線済みでもクロック端子11とセル群2
3及びセル群33及びセル群43が等遅延となり、スキ
ューが削減できる。
【0077】このように、本実施の形態では、あるマク
ロ内の配置配線が完了している既配置マクロ(ホワイト
ボックスで、かつハードマクロ扱いが可能な)の場合で
も既配置マクロ内のクロックネットに接続するセル群ま
での遅延に未配置マクロ内のクロックネットに接続する
セル群までの遅延を一致させることにより、配置配線完
了後のスキューを削減できる。
【0078】次に、本発明の第3の実施の形態を特徴付
けるクロック配線の適用対象の機能ブロックの第3の例
を図2と共通の構成要素には共通の参照文字/数字を付
して同様にブロックで示す図6を参照すると、この図に
示す本実施の形態の機能ブロックの前述の第1の実施の
形態との相違点は、クロック出力端子11とマクロ2,
3の各々のクロック端子21,31との間に挿入したバ
ッファ5を有することである。
【0079】クロック出力端子11からマクロのクロッ
ク端子21,31の距離が長くクロックネット出力ブロ
ック1の駆動能力ではマクロ内に追加したバッファ2
2,32を駆動できないような場合は、クロック出力端
子11とマクロ2,3の各々のクロック端子21,31
との間にバッファ22,32を十分駆動可能なバッファ
5を追加することにより、バッファ5が追加されていな
い第1及び第2の実施の形態の場合と同様にトップレベ
ルの遅延計算を正確に行うことが可能となり配置配線後
のスキューを削減できる。
【0080】また、クロック出力端子11からマクロの
クロック端子21,31間に複数のバッファが存在する
場合でもトップレベルの遅延計算を正確に行うことが可
能である。
【0081】従って、トップレベルの遅延差を正確に計
算することができ、その遅延差を考慮したマクロ内のク
ロック配線を行うことによりスキューを削減できる。
【0082】さらにパワー削減のために、予め設定した
動作条件の時にクロックを停止させるための論理を入力
したセルをクロックネットに付加する場合でもトップレ
ベルの遅延計算が可能となる。
【0083】本発明の第4の実施の形態を特徴付ける上
述のパワー削減用論理を付加した機能ブロックの第4の
例を図2と共通の構成要素には共通の参照文字/数字を
付して同様にブロックで示す図7を参照すると、この図
に示す本実施の形態の前述の第1の実施の形態との相違
点は、予め設定した動作条件の設定、この例ではマクロ
2の動作を停止させる場合にマクロ2に供給するクロッ
ク信号を1又は0のいずれかに固定することにより上記
動作条件時にパワーを削減するための制御回路9と、こ
の制御回路9で制御される論理回路6をマクロ2のクロ
ック端子21の入力側に付加したことである。
【0084】この例では、論理回路6としてAND回路
を用い、制御回路9が0を出力したとき、論理回路6は
クロック端子21に供給するクロックを0に固定するこ
とによりマクロ2の動作を停止させる。
【0085】また、論理回路6をマクロ3のクロック端
子31の入力側に設けてマクロ3の動作を停止もよい。
また、クロック端子21,31の両方の入力に論理回路
6を設けることにより、マクロ2,3の両方の動作を制
御するようにしてもよい。
【0086】いずれの場合でもトップレベルの遅延計算
を正確に行うことが可能である。
【0087】従って、トップレベルの遅延差を正確に計
算することができ、その遅延差を考慮したマクロ内のク
ロック配線を行うことによりスキューを削減できる。
【0088】
【発明の効果】以上説明したように、本発明のクロック
配線の設計方法は、予めマクロの遅延モデルを作成し、
この遅延モデルを用いてトップレベルの遅延計算を行う
ことにより、設計対象とする機能ブロック内のクロック
供給対象の複数のマクロの各々のクロック遅延を正確に
計算することが可能となり、これら複数のマクロの各々
間のクロックスキューを削減できるという効果がある。
【0089】また、トップレベルのクロック配線を等配
線長で行った場合に隣接配線容量による遅延変動を考慮
することが可能となり、マクロ間のクロックスキューを
正確に計算できるという効果がある。
【0090】また、等配線長で配線を行わない場合も、
同様に、マクロ間のクロックスキューを正確に計算でき
るので、トップレベルでのマクロ間のクロックスキュー
が正確な値となり、マクロ内のCTS実行後のクロック
スキューを削減できるという効果がある。
【0091】また、一部のマクロのマクロ内のレイアウ
ト変更が生じた場合でも、当該マクロ内のクロック端子
に遅延モデル作成時に追加したバッファ論理と対応する
同一駆動能力のバッファを追加し、レイアウトを行う際
にクロック端子近傍に配置することにより、トップレベ
ル及び不変更のマクロ内の遅延値に変化はないため、こ
れらトップレベル及び不変更のマクロ内のレイアウト変
更を行う必要がなく、再度レイアウトを行う処理時間を
短縮できるという効果もある。
【0092】さらに、本実施の形態のクロック配線の設
計方法は、近年の高集積度のLSIのレイアウト設計効
率を大幅に向上可能とするという効果がある。
【図面の簡単な説明】
【図1】本発明のクロック配線の設計方法の第1の実施
の形態を示すフローチャートである。
【図2】本実施の形態のクロック配線の設計方法におけ
る動作の一例を説明するための適用対象の第1の例の機
能ブロックと端子位置の決定及びマクロのモデル化を模
式的に示すブロック図である。
【図3】本実施の形態のクロック配線の設計方法におけ
る動作の一例を説明するための第1の機能ブロックのマ
クロ内のレイアウト後の状態を模式的に示すブロック図
である。
【図4】本発明のクロック配線の設計方法の第2の実施
の形態を示すフローチャートである。
【図5】本実施の形態を特徴付ける適用対象の第2の例
の機能ブロックを模式的に示すブロック図である。
【図6】本発明のクロック配線の設計方法の第3の実施
の形態を特徴付ける適用対象の第3の例の機能ブロック
を模式的に示すブロック図である。
【図7】本発明のクロック配線の設計方法の第4の実施
の形態を特徴付ける適用対象の第4の例の機能ブロック
を模式的に示すブロック図である。
【図8】従来の第1のクロック配線の設計方法を説明す
るための適用対象の機能ブロックと端子位置の決定及び
マクロのモデル化を模式的に示すブロック図である。
【図9】従来の第2のクロック配線の設計方法の一例を
示すフローチャートである。
【符号の説明】
1 クロックネット出力ブロック 2,3,4 マクロ 2M,3M 遅延モデル 6 論理回路 7,8 直線 9 制御回路 11 出力端子 21,31,201,302 クロック端子 22M,32M バッファ論理 5,22,32 バッファ 23,33,43 セル群 25,35 中心 F1,F101,F102 RC情報 F2 遅延モデル(ファイル) F3 既配置マクロの遅延情報
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI G06F 17/50 668 G06F 17/50 668M 668X H01L 21/82 B H01L 21/822 27/04 D 27/04 (58)調査した分野(Int.Cl.7,DB名) H01L 21/82 G06F 17/50 H01L 21/822 H01L 27/04

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】 機能ブロックを上位階層であるトップレ
    ベルと下位階層であるマクロの2階層に区分することに
    より階層化し、これらトップレベル内及びマクロ内の各
    々のレイアウトを個別に行う階層設計手法を用い、最初
    にトップレベルのレイアウトを行うトップダウン方式の
    LSIのレイアウト設計におけるクロック配線の設計方
    法において、それぞれマクロ内の配置配線がなされてい
    ない未配置の第1及び第2のマクロの各々のクロックの
    供給を受けるクロック端子を設定するマクロのクロック
    端子決定ステップと、前記クロック出力端子と前記マク
    ロのクロック端子決定ステップで設定した前記第1及び
    第2のクロック端子の各々との間の概略配線を行い配線
    経路を決定する配線経路の決定ステップと、前記配線経
    路の決定ステップで決定した配線経路に基づき前記クロ
    ック出力端子と前記第1及び第2のクロック端子の各々
    との間の配線を行い、各配線の抵抗及び容量の情報であ
    るRC情報を抽出するトップレベルの配線ステップと、
    前記第1及び第2のマクロの各々の遅延モデルを作成す
    る遅延モデル作成ステップと、前記RC情報と前記遅延
    モデルに基づき前記クロック出力端子と前記第1及び第
    2のクロック端子の各々間のスタティックタイミング解
    析を行い、前記クロック出力端子と前記第1及び第2の
    マクロの各々間のクロック遅延値である第1及び第2の
    遅延値をそれぞれ計算するトップレベルの遅延計算ステ
    ップと、前記第1及び第2の遅延値からクロック出力端
    子と前記第1及び第2のマクロの各々間のクロック遅延
    差を計算する遅延差計算ステップと、前記第1及び第2
    のマクロの各々内のレイアウト時に前記第1及び第2の
    クロック端子の各々の近傍にバッファ論理と対応する同
    一駆動能力のバッファを配置するバッファ配置ステップ
    と、前記第1及び第2のマクロの各々内を配置するマク
    ロ内配置ステップと、前記クロック遅延差を考慮して前
    記第1及び第2のマクロの各々内のクロックツリー合成
    法によりクロック配線を行うマクロ内クロック配線ステ
    ップとを有することを特徴とするクロック配線の設計方
    法。
  2. 【請求項2】 前記マクロのクロック端子決定ステップ
    が、出力ブロックのクロック出力端子から第1及び第2
    のマクロの各々の中心を結ぶ第1及び第2の直線を引
    き、前記第1及び第2の直線と前記第1及び第2のマク
    ロの各々の周辺との交点である第1及び第2の交点を求
    め、これら第1及び第2の交点の各々に前記第1及び第
    2のマクロの各々の第1及び第2のクロック端子をそれ
    ぞれ設定することを特徴とする請求項記載のクロック
    配線の設計方法。
  3. 【請求項3】 前記遅延モデル作成ステップが、前記第
    1及び第2のマクロのマクロ内ネットリストの中のクロ
    ック端子にライブラリセル記述ファイルのセル群のバッ
    ファ論理を追加して前記第1及び第2のマクロの各々の
    遅延モデルを作成することを特徴とする請求項記載の
    クロック配線の設計方法。
  4. 【請求項4】 前記機能ブロックが、前記クロック出力
    用のクロック出力端子を備えた出力ブロックを有するク
    ロックネットと、前記クロック入力用の前記第1及び第
    2のクロック端子の各々を備える前記第1及び第2のマ
    クロと、前記トップレベル配線である前記出力ブロック
    から前記第1及び第2のマクロの各々へのクロック配線
    とを備えることを特徴とする請求項記載のクロック配
    線の設計方法。
  5. 【請求項5】 機能ブロックを上位階層であるトップレ
    ベルと下位階層であるマクロの2階層に区分することに
    より階層化し、これらトップレベル内及びマクロ内の各
    々のレイアウトを個別に行う階層設計手法を用い、最初
    にトップレベルのレイアウトを行うトップダウン方式の
    LSIのレイアウト設計におけるクロック配線の設計方
    法において、それぞれマクロ内の配置配線がなされてい
    ない未配置の第1及び第2のマクロ及びマクロ内の配置
    配線済みの既配置の第3のマクロの各々のクロックの供
    給を受けるクロック端子を設定するマクロのクロック端
    子決定ステップと、前記クロック出力端子と前記マクロ
    のクロック端子決定ステップで設定した前記第1,第2
    及び第3のクロック端子の各々との間の概略配線を行い
    配線経路を決定する配線経路の決定ステップと、前記配
    線経路の決定ステップで決定した配線経路に基づき前記
    クロック出力端子と前記第1及び第2のクロック端子の
    各々との間の配線を行い、各配線の抵抗及び容量の情報
    であるRC情報を抽出するトップレベルの配線ステップ
    と、前記第1及び第2のマクロの各々の遅延モデルを作
    成するとともに前記第3のマクロの配線情報からこの第
    3のマクロの遅延値を抽出し、この第3のマクロの遅延
    値を含めた遅延モデルを作成する遅延モデル作成ステッ
    プと、前記RC情報と前記遅延モデルに基づき前記クロ
    ック出力端子と前記第1及び第2のクロック端子の各々
    間のスタティックタイミング解析を行い、前記クロック
    出力端子と前記第1及び第2のマクロの各々間のクロッ
    ク遅延値である第1及び第2の遅延値をそれぞれ計算す
    るトップレベルの遅延計算ステップと、前記第1及び第
    2の遅延値からクロック出力端子と前記第1及び第2の
    マクロの各々間のクロック遅延差を計算する遅延差計算
    ステップと、前記第1及び第2のマクロの各々内のレイ
    アウト時に前記第1及び第2のクロック端子の各々の近
    傍にバッファ論理と対応する同一駆動能力のバッファを
    配置するバッファ配置ステップと、前記第3のマクロ内
    のセル群と前記クロック出力端子との間の遅延値と等し
    くなるように、前記第1及び第2のマクロの各々内を配
    置するマクロ内配置ステップと、前記クロック遅延差を
    考慮して前記第1及び第2のマクロの各々内のクロック
    ツリー合成法によりクロック配線を行うマクロ内クロッ
    ク配線ステップとを有することを特徴とするクロック配
    線の設計方法。
  6. 【請求項6】 前記機能ブロックが、前記クロック出力
    用のクロック出力端子を備えた出力ブロックを有するク
    ロックネットと、前記クロック入力用の前記第1及び第
    2のクロック端子の各々を備える前記第1及び第2のマ
    クロと第3のクロック端子を備えるマクロ内の配置配線
    済みの既配置の第3のマクロと、、前記トップレベル配
    線である前記出力ブロックから前記第1,第2及び第3
    のマクロの各々へのクロック配線とを備えることを特徴
    とする請求項記載のクロック配線の設計方法。
  7. 【請求項7】 前記クロック配線が、前記クロック出力
    端子と前記第1及び第2のクロック端子との間に挿入し
    た前記第1及び第2のクロック端子の各々に付加した第
    1及び第2のバッファ駆動用の第3のバッファを備える
    ことを特徴とする請求項又は記載のクロック配線の
    設計方法。
  8. 【請求項8】 前記機能ブロックが、前記クロック出力
    用のクロック出力端子を備えた出力ブロックを有するク
    ロックネットと、前記クロック入力用の前記第1及び第
    2のクロック端子の各々を備える前記第1及び第2のマ
    クロと、前記トップレベル配線である前記出力ブロック
    から前記第1及び第2のマクロの各々へのクロック配線
    と、予め定めた動作条件の設定に応じて制御信号を出力
    する制御回路とを有し、前記クロック配線が、前記第1
    及び第2のマクロのいずれか一方又は両方の入力側に前
    記制御信号の供給に応じて前記クロックの通過を停止さ
    せる論理回路を備えることを特徴とする請求項又は
    記載のクロック配線の設計方法。
JP2000292578A 2000-09-26 2000-09-26 クロック配線の設計方法 Expired - Fee Related JP3420195B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000292578A JP3420195B2 (ja) 2000-09-26 2000-09-26 クロック配線の設計方法
US09/962,135 US6609241B2 (en) 2000-09-26 2001-09-26 Method of designing clock wiring

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000292578A JP3420195B2 (ja) 2000-09-26 2000-09-26 クロック配線の設計方法

Publications (2)

Publication Number Publication Date
JP2002110797A JP2002110797A (ja) 2002-04-12
JP3420195B2 true JP3420195B2 (ja) 2003-06-23

Family

ID=18775497

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000292578A Expired - Fee Related JP3420195B2 (ja) 2000-09-26 2000-09-26 クロック配線の設計方法

Country Status (2)

Country Link
US (1) US6609241B2 (ja)
JP (1) JP3420195B2 (ja)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6952813B1 (en) * 2003-07-30 2005-10-04 Xilinx, Inc. Method and apparatus for selecting programmable interconnects to reduce clock skew
US7134062B2 (en) * 2003-08-12 2006-11-07 Via Telecom, Inc. Static timing analysis approach for multi-clock domain designs
JP4017583B2 (ja) * 2003-10-16 2007-12-05 松下電器産業株式会社 半導体集積回路の設計データの回路表示方法
US7017132B2 (en) * 2003-11-12 2006-03-21 Taiwan Semiconductor Manufacturing Company Methodology to optimize hierarchical clock skew by clock delay compensation
US7152217B1 (en) * 2004-04-20 2006-12-19 Xilinx, Inc. Alleviating timing based congestion within circuit designs
JP2006012008A (ja) * 2004-06-29 2006-01-12 Oki Electric Ind Co Ltd タイミングモデル、及びそれを用いたlsi設計方法
JP4540540B2 (ja) * 2005-05-02 2010-09-08 ルネサスエレクトロニクス株式会社 遅延計算装置
US7467367B1 (en) * 2005-10-27 2008-12-16 Cadence Design Systems, Inc. Method and system for clock tree synthesis of an integrated circuit
JP4355348B2 (ja) * 2007-07-10 2009-10-28 パナソニック株式会社 クロック供給回路及びその設計方法
JP2009037278A (ja) * 2007-07-31 2009-02-19 Nec Corp 動作タイミング検証装置、方法、及び、プログラム
JP5359655B2 (ja) 2009-07-30 2013-12-04 富士通セミコンダクター株式会社 生成方法
US8935639B1 (en) * 2012-08-29 2015-01-13 Atoptech, Inc. Natively color-aware double patterning technology (DPT) compliant routing
US9443053B2 (en) 2013-12-26 2016-09-13 Cavium, Inc. System for and method of placing clock stations using variable drive-strength clock drivers built out of a smaller subset of base cells for hybrid tree-mesh clock distribution networks
US9390209B2 (en) 2013-12-26 2016-07-12 Cavium, Inc. System for and method of combining CMOS inverters of multiple drive strengths to create tune-able clock inverters of variable drive strengths in hybrid tree-mesh clock distribution networks
US9305129B2 (en) * 2013-12-26 2016-04-05 Cavium, Inc. System for and method of tuning clock networks constructed using variable drive-strength clock inverters with variable drive-strength clock drivers built out of a smaller subset of base cells
US10423743B2 (en) * 2016-11-15 2019-09-24 Synopsys, Inc. Context-dependent useful skew estimation for optimization, placement, and clock tree synthesis
US11227084B2 (en) * 2018-11-14 2022-01-18 Taiwan Semiconductor Manufacturing Company Ltd. Multi-bit standard cell
US11080457B1 (en) * 2020-03-18 2021-08-03 Cadence Design Systems, Inc. Layer assignment and routing based on resistance or capacitance characteristic

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0673363B2 (ja) * 1984-07-02 1994-09-14 株式会社東芝 システムlsiの設計方法
US5222030A (en) * 1990-04-06 1993-06-22 Lsi Logic Corporation Methodology for deriving executable low-level structural descriptions and valid physical implementations of circuits and systems from high-level semantic specifications and descriptions thereof
JPH04148376A (ja) 1990-10-11 1992-05-21 Hokuriku Nippon Denki Software Kk 印刷回路の階層的配置処理方式
JP3076410B2 (ja) * 1991-07-08 2000-08-14 株式会社東芝 半導体集積回路の設計方法
US5956257A (en) * 1993-03-31 1999-09-21 Vlsi Technology, Inc. Automated optimization of hierarchical netlists
US5778216A (en) * 1995-06-30 1998-07-07 Cadence Design Systems, Inc. Method for hierarchical time drive circuit layout by rebudgeting timing constraints of plurality of logical blocks after placement
US5790841A (en) * 1996-04-15 1998-08-04 Advanced Micro Devices, Inc. Method for placement of clock buffers in a clock distribution system
JPH1021276A (ja) * 1996-06-28 1998-01-23 Toshiba Corp パターンマッチング方法、タイミング解析方法、及びタイミング解析装置
US6289494B1 (en) * 1997-11-12 2001-09-11 Quickturn Design Systems, Inc. Optimized emulation and prototyping architecture
US6086629A (en) * 1997-12-04 2000-07-11 Xilinx, Inc. Method for design implementation of routing in an FPGA using placement directives such as local outputs and virtual buffers
US6249902B1 (en) * 1998-01-09 2001-06-19 Silicon Perspective Corporation Design hierarchy-based placement
US6275973B1 (en) * 1998-10-30 2001-08-14 Lsi Logic Corporation Integrated circuit design with delayed cell selection
JP2000286342A (ja) 1999-03-30 2000-10-13 Hitachi Ltd コンピュータ読み取り可能な記憶媒体、半導体集積回路の設計方法、ならびに半導体装置の設計方法

Also Published As

Publication number Publication date
US20020038204A1 (en) 2002-03-28
US6609241B2 (en) 2003-08-19
JP2002110797A (ja) 2002-04-12

Similar Documents

Publication Publication Date Title
JP3420195B2 (ja) クロック配線の設計方法
US6026228A (en) Integrated circuit design method, database apparatus for designing integrated circuit and integrated circuit design support apparatus
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
US6080201A (en) Integrated placement and synthesis for timing closure of microprocessors
JP2995963B2 (ja) 階層的回路データベース最適化装置及び階層的回路データベース最適化方法
US7111269B2 (en) Comparison of two hierarchical netlist to generate change orders for updating an integrated circuit layout
US7895551B2 (en) Generation of standard cell library components with increased signal routing resources
WO2000034902A1 (en) Methods for designing standard cell transistor structures
US6484292B1 (en) Incremental logic synthesis system for revisions of logic circuit designs
US6526561B2 (en) Database for designing integrated circuit device, and method for designing integrated circuit device
WO1999009497A1 (fr) Procede d'extraction de caracteristiques de synchronisation de circuits a transistors, support de stockage stockant une bibliotheque de caracteristiques de synchronisation, procede de conception de lsi et procede d'extraction par grille
CN114841104A (zh) 时序优化电路和方法、芯片及电子设备
US20100275168A1 (en) Design method of semiconductor integrated circuit device and program
US20040049753A1 (en) System for estimating performance of integrated circuit in register transfer level
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US8074198B2 (en) Apparatus and method for circuit layout using longest path and shortest path search elements
JP3193167B2 (ja) 論理合成システム
US6453448B1 (en) Functional level configuration of input-output test circuitry
JP2872216B1 (ja) マクロの設計方法
US6877140B1 (en) Method and system for generating a schematic representing bus structures
JP3182244B2 (ja) 半導体集積回路における信号伝播遅延時間の最適化方法
JP3230234B2 (ja) 半導体集積回路の遅延調整方法、遅延調整用回路ブロックおよび記録媒体。
CN101174284A (zh) 设计存储器寄存器的方法和系统
JPH1154626A (ja) タイミング調整軽減を考慮したlsiのレイアウト設計装置及びレイアウト設計方法並びにlsiのレイアウト設計プログラムを記録した記録媒体
JPH06310601A (ja) レイアウト設計方法

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030325

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080418

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090418

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees