JPH06232263A - 半導体集積回路における信号伝播遅延時間の最適化方法 - Google Patents

半導体集積回路における信号伝播遅延時間の最適化方法

Info

Publication number
JPH06232263A
JPH06232263A JP5018657A JP1865793A JPH06232263A JP H06232263 A JPH06232263 A JP H06232263A JP 5018657 A JP5018657 A JP 5018657A JP 1865793 A JP1865793 A JP 1865793A JP H06232263 A JPH06232263 A JP H06232263A
Authority
JP
Japan
Prior art keywords
path
wiring
net
terminals
terminal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5018657A
Other languages
English (en)
Other versions
JP3182244B2 (ja
Inventor
Mutsunori Igarashi
睦典 五十嵐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP01865793A priority Critical patent/JP3182244B2/ja
Publication of JPH06232263A publication Critical patent/JPH06232263A/ja
Application granted granted Critical
Publication of JP3182244B2 publication Critical patent/JP3182244B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【目的】 レイアウトの配置の段階において、配線径路
を正確に予測し、信号伝播遅延時間を最適化する。 【構成】 ネットを構成する端子(×印)間の相対距離
からクラスタ1(端子集合)を形成する。クラスタ1内
の重心位置pを貫き、クラスタ1内の最小矩形の長手方
向を幹とし、各端子から幹に垂直に支線を発生させて配
線予測径路7を作成する。ネットを構成する全ての端子
の重心位置oから直線距離が最も近い配線予測径路7上
の点、及び独立した端子3を代表点9とする。代表点9
を配線予測径路7の作成手法と同様に行ってネット全体
の配線予測径路11を作成する。ネット全体の配線予測
径路11を用いてELMOREの遅延算出方法を適用し、信号
伝播遅延時間を最適化する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】この発明は、計算機を用いたLS
I設計に係わり、論理セルの概略配置の実行または現配
置状態の改善を行なうに際して、正確な配線予測径路を
作成し、作成された配線予測径路を用いて信号伝播遅延
時間を求める方法に関するものである。
【0002】
【従来の技術】半導体集積回路の微細化は、チップ当た
りの搭載可能な回路規模の増大による製造コスト低減
や、動作速度の向上による性能アップなどの利点が期待
できる反面、サブミクロンオーダの回路では配線抵抗成
分の遅延時間への影響が無視できなくなり、レイアウト
設計において回路の動作性能の保証を困難にするといっ
た問題を発生させている。
【0003】従来、このタイミング保証問題に対してレ
イアウトの特に配線以前の段階での遅延時間の予測方法
は、抵抗成分を無視した単に容量負荷としての考慮の仕
方が用いられてきた(特開平4−048389,特開平
4−106666)。しかしながら、回路が微細化して
いくに連れて、配線上を伝播する信号の遅延が、ゲート
の内部遅延に比べて相対的な比率を増してきたため、配
線抵抗を無視した従来の集中容量負荷モデルによる遅延
予測精度では不十分である。
【0004】従って、レイアウトの配線以前の段階にお
いても、配線抵抗を考慮した精度の高い伝播遅延予測が
必要となってきた。そのためには、論理ゲートの概略配
置状態から配線径路を高精度で予測する手段が不可欠で
ある。
【0005】配線抵抗を考慮して信号の伝播遅延を精度
良く見積もるためには、配線の分岐を考慮した径路予測
を行なう必要がある。配線長や配線径路の予測のための
従来の配線モデルとしては、図7(a)〜(f)に示さ
れているような、Half Perimeter(ネット最小矩形の半
周長/図7(a)),Minimum Spaning Tree(b),Ch
ain (c),完全グラフ(d),Single Trunk Steiner
Tree (e),Steiner Tree(f)が知られている(M.
A.Breuer, “Design Automation od Degital System
s”,Vol.1,Theory and Techniques,Prentice-Hall In
c.,1972)。なお、図中の×印は端子を示す。
【0006】これらのうち、(a)〜(e)は多端子ネ
ットの配線長予測精度が悪く、また、(a)〜(d)に
ついては総配線長の予測はできるが配線の分岐が正確に
予測されていないため、伝播遅延予測には不向きであ
る。(f)はこれらの中では比較的精度の高い手法では
あるが、有限時間内で計算可能なネットの端子数は限ら
れており、実用上利用することができない。
【0007】一方、タイミング制約には、パスの始点
(ソース)から終点(シンク)に至るまでの時間の上限
値を規定する上限制約と下限値を規定する下限制約とが
ある。従来、タイミングの上限制約を最適化する手法と
して特願平3−167237がある。
【0008】図8は、この特願平3−167237に記
載されたパスディレイ適化配置手法を説明するフローチ
ャートである。図8のステップP1では、回路の遅延解
析を行ってクリティカルパスを抽出し、そして全てのク
リティカルパスに関して回路を正常に動作させるための
タイミング制約が付加される。ステップP2のパスの処
理順序では、パスを設計要求時間と予測または実測値と
の差(スラック)が小さい順に並べ換え、以下の処理で
のパスの処理順序を作成する。
【0009】処理対象となったパスが選択されると、パ
スに関係するセルをパスの径路を支配するパスコアセル
とそうでないパスブランチセルとに分類する(P4)。
まず、パスの径路形状を最短化させるために、パスコア
セルの配置位置を決定し(P5)、続いて個々のネット
長を最短化させるためにパスブランチセルの配置位置を
決定する(P6)。
【0010】パスコアセルとパスブランチセルの配置位
置が決定されれば1つのパスの処理は終了で、処理は次
のパスへ移行する(P7)。そして、全てのパスの処理
が終わった段階で回路の動作解析を行い、クリティカル
パスを更新する(P8)。全てのパスが設計仕様を満た
していれば処理を終了し、制約をみたさないパスが存在
するならばそれらに関して再び処理を行なう(P3)と
いうものである。
【0011】しかしながら、この手法ではタイミングの
上限制約だけにしか着目しておらず、下限制約を満足す
るという保証はない。従って、真に回路の信号伝播遅延
時間を最適化する手法ではない。
【0012】
【発明が解決しようとする課題】上述のように従来のレ
イアウト設計の未配線状態における信号伝播遅延解析方
法にあっては、その配線径路予測方法に精度と予測結果
に関して不十分な点があったため、実用的な時間内で信
号伝播遅延時間を最適化することができなかった。
【0013】また、回路を正しく動作させるためには、
タイミングの上限制約と下限制約とを同時に満足しなけ
ればならないが、従来の信号伝播遅延時間を最適化する
手法にあっては、タイミングの上限制約を主として最適
化させる手法であって、回路の正確な動作を保証する上
では不十分な点があった。
【0014】この発明は上述の問題点に鑑みてなされた
ものであり、第1の発明の目的とするところは、レイア
ウト設計の配置状態から配線径路を正確に予測し、その
結果を用いて信号伝播遅延時間を最適化することができ
る半導体集積回路における信号伝播遅延時間の最適化方
法を提供することにある。
【0015】また、第2の発明の目的とするところは、
回路の動作を保証するために、全てのクリティカルパス
に対して、タイミングの上限制約と下限制約とを同時に
満足させることのできる半導体集積回路における信号伝
播遅延時間の最適化方法を提供することにある。
【0016】
【問題を解決するための手段】上記目的を達成するた
め、第1の発明は、半導体集積回路上の論理セルの配置
位置を決定するに際し、端子の座標を基にして、ネット
を構成する端子間の相対距離から複数の端子集合を形成
し、この端子集合ごとに端子集合内の配線予測径路を作
成し、作成された端子集合ごと配線径路間を連結するこ
とによって、ネット全体の配線予測径路を階層的に作成
した後、作成されたネット全体の配線予測径路を用いて
信号伝播遅延時間を最適化することを特徴としている。
【0017】具体的には、半導体集積回路上のネットを
構成する端子間の相対距離から複数の端子集合を形成
し、形成された端子集合内において、この端子集合内に
ある端子の重心位置を貫き、端子集合で形成される最小
矩形の長手方向の配線を幹とし、各端子から前記幹に対
して垂直に発生させた配線を支線とする配線予測径路を
作成し、各端子集合毎に、前記ネットを構成する全ての
端子に関する重心位置に最も近い位置にある、端子集合
内に形成した配線予測径路上の点を各端子集合の代表点
として求め、各代表点の重心位置を貫き、全ての代表点
集合で形成される最小矩形の長手方向の配線を幹とし、
各代表点から前記幹に対して垂直に発生させた配線を支
線とするネット全体の配線予測径路を作成し、作成され
たネット全体の配線径路を用いて信号伝播遅延時間を最
適化している。
【0018】特に、前記ネットを構成する端子間の相対
距離から複数の端子集合を形成する際に、ネットを構成
する全ての端子の重心位置からの直線距離が大きい端子
から順に端子集合の種として選択し、選択された種から
の直線距離が、ネットの占有面積を複数に等分した面積
と略等価な面積を持つ円の半径長さ以内にある端子を同
一端子集合に属させるのが最適である。
【0019】また、第2の発明は、半導体集積回路上の
動作制約となる信号径路を抽出し、抽出されたに関する
上限制約と下限制約を求め、抽出された信号径路を構成
するネットに対して上記下限制約を配分し、これを端子
毎の制約として付加して端子同士が互いに近接できる最
小範囲を規定し、抽出された信号径路を構成する複数の
論理セルを、前記信号径路全体の物理的形状を支配する
パスコアセルと前記信号径路から分岐するネットを構成
するパスブランチセルとに分類し、前記パスコアセルを
前記信号径路長が短くなる位置に配置し、前記端子毎の
制約として付加した下限制約が満たされない場合には、
これを改善するための斥力を発生させて下限制約違反を
改善した後、前記パスブランチセルを前記ネット長が短
くなる位置に配置することを特徴としている。
【0020】
【作用】第1の発明によれば、ネット全体の配線予測径
路を階層的に作成し、特にネットの中心から遠い端子か
らクラスタ(端子集合)を形成するので、高い精度の配
線径路予測を行なうことができるため、信号伝播遅延時
間を最適化することができる。
【0021】また、第2の発明によれば、クリティカル
パスに関係するネットに接続する端子に対して予め端子
ペア同士が接近できる最小の範囲を規定しておくこと
で、下限制約を考慮した上限制約最適化が可能となる。
これによって、タイミング制約の上限値と下限値とを同
時に満足させることができ、回路全体の正確な動作を保
証する事ができる。
【0022】
【実施例】以下、本発明の実施例を図面を参照しながら
説明する。
【0023】第1の発明 図1は、論理ゲートの概略配置状態から配線径路予測を
行なう方法を説明するための簡略図である。
【0024】まず、処理対象となったネット(図1全
体)を構成する端子(×印)間の相対距離から端子のク
ラスタリングを行い、端子集合であるクラスタ1を形成
する。ここで、端子3はクラスタリングできなかった独
立した端子である。
【0025】クラスタリングの方法は、第1の発明とも
なる、端子の座標のみから解析的にクラスタ1を形成す
る方法が最適である。すなわち、まず、ネットを構成す
る全ての端子の重心位置oを基準として、そこからのユ
ークリッド距離(直線距離)の大きい端子から順にクラ
スタ1のシード(種)とする。
【0026】そして、このシードからのユークリッド距
離が、ネットの最小矩形5が占める面積の1/4と略等
価な面積を持つ円の半径長さ以内に位置する端子を同一
クラスタ1に所属させる。なお、面積は1/4に限るこ
となく、ネットの占有面積を複数に等分した面積と略等
価な面積でもよいものである。
【0027】また、クラスタリングの方法は、第1の発
明による方法でなくても次の方法でも良く、処理の対象
とするデータの規模から判断していずれかの方法を選択
すればよい。
【0028】次の方法は、端子間の隣接度を用いた手法
で、これは、端子が配置された2次元平面上で、端子を
ノードとしたXY両方向に関して隣接関係グラフを基に
する手法である。端子間に張られたエッジには、ネット
の広がりから算出される代表長さ(ネットの最小矩形5
の面積と略等価な面積をもつ円の半径)で規格化された
端子間の距離xまたはyを各端子間に張られたエッジの
重みとして、隣接度を表現する。
【0029】この隣接度が小さいエッジから順に、隣接
度が予め設定されたしきい値(例えば0.25)以下で
あれば2つのノードは1つのクラスタ1に属するものと
判定する。これを順次各エッジに関して行い、全てのノ
ードをクラスタ化する。ただし、1つのノードが複数の
クラスタ1に重複して属することは許さないものとし、
クラスタ化されないノードに関しては、その1つのノー
ドで1つのクラスタ1を形成するものとして登録する。
【0030】上述のいずれかの手法を用いてクラスタリ
ングした後、まず各クラスタ1内での配線径路予測を行
なう。クラスタ1内における配線径路7の予測は、クラ
スタ1内端子の位置の重心位置pを貫き、クラスタ1内
端子の最小矩形の長手方向を幹とし、各端子位置から幹
に対して垂直に支線を発生させて作成する。
【0031】次に、ネットを構成する全ての端子に関す
る重心位置oからのユークリッド距離が最も近い、クラ
スタ1内における配線予測径路7上の位置をクラスタ1
内で探索し、これを代表点9(図中、二重丸)として登
録する。なお、端子3は独立した端子であるため、端子
の位置が代表点9となる。
【0032】このようにして求めた代表点9を、上記ク
ラスタ1内における配線予測径路7の作成手法と同様の
手順を以てネット全体の配線予測径路11を作成する。
最後に、作成されたネット全体の配線予測径路11を用
いてELMOREの遅延算出方法を適用することにより、信号
伝播遅延時間を最適化することができる。
【0033】図2は、本発明の配線径路予測が持つ精度
を、ネットの総配線長に関して示した図である。使用し
たデータは、129KG,12000セル規模のゲート
アレイのデータで、従来から用いられてきた代表的な手
法としてSingle Trunk Steiner Tree 法(図中、破線)
と、Half Perimeter法(点線)を例にとり、本手法(実
線)と従来手法とが実配線結果に対してどの程度の誤差
を持つか示した図である。
【0034】グラフの横軸はネットの端子数で、縦軸は
端子毎の個々のネットの配線長の実配線長との誤差を平
均したものである。このグラフが示しているように、本
発明では殆ど全てのネットの端子数について従来手法よ
りも高い予測精度が得られている。
【0035】さらに、図3は、本発明における信号伝播
遅延時間の最適化方法による遅延予測精度を上述のデー
タに関して示したものである。横軸は実配線径路から算
出した遅延時間に対する本発明の誤差(絶対値)を示
し、縦軸は端子ペア数である。この図から分かるよう
に、本発明では、算出した全ての端子ペアのうち90%
以上が誤差20%以内に収まっている。
【0036】第2の発明 図4は、第2の発明のフローチャートである。まず、回
路の遅延解析を実行し、クリティカルパスの抽出を行う
(ステップQ1)。このステップQ1では、詳細は後述
するが、パスディレイの下限制約を各ネットに配分す
る。次に、ステップQ1で配分された各ネットごとの下
限制約を各端子に付加する(ステップQ2)。
【0037】ステップQ3で処理手順を作成し、制約が
満たされていない場合には(ステップQ4否定)、処理
対象となったパスを構成するセルが、パス径路全体の物
理的形状を支配するパスコアセルと、パス径路から分岐
するネットを構成するパスブランチセルとに分類する
(ステップQ5)。
【0038】次いで、ステップQ2で付加した各端子の
下限制約が満たされない場合には、各端子間に斥力を発
生させて下限制約が満たされるパスコアセルの配置位置
を決定する(ステップQ6)。
【0039】続いて個々のネット長を最小化させるため
にパスブランチセルの配置位置を決定する(ステップQ
7)。パスコアセルとパスブランチセルの配置位置が決
定されることによって1本のパスの処理が終了し、次に
処理すべきパス移行する(ステップQ8)。
【0040】全てのパスの処理が終わった段階で回路の
動作解析を行い、クリティカルパスを更新する(ステッ
プQ9)。全てのパスが制約を満たしていれば処理を終
了し、制約を満たしていないパスがあればそのパスに関
して再び処理を行う。
【0041】以下に、第2の発明の特徴となるステップ
Q1,Q2,Q6における具体的な処理について説明す
る。パスディレイの下限制約は、まずステップQ1にお
いて、ネットのファンアウトを考慮して各ネットに下限
制約を配分し、次にステップQ2において、下限制約が
配分された各ネットを構成するパスコアセルの端子に対
してパスディレイの下限制約を付加する。
【0042】まず、ステップQ1では、各クリティカル
パスに対して信号がパスの始点から終点に至るまでの所
要時間の上限値と下限値を与える。要求された回路の動
作スピードを保証するためには、各クリティカルパスを
伝搬する信号がそれぞれのパスに要求される到達時間の
範囲内になければならない。
【0043】パスの下限制約は、各ネットに割り振られ
た下限制約の総和が下限値以上であれば満たされる。す
なわち、 パスの下限制約 ≦ Σネットの下限制約 となるように各ネットに対してディレイの下限制約を割
り振ればよい。全てのネットに対して等しくディレイを
割り振るには、 ネットの下限制約A=パスの下限制約/パスを構成する
ネット数 と取れば良い。また、更にネットのファンアウトを考慮
に入れて割り振るためには、 ネットの下限制約B = ネットの下限制約A×(ネッ
トのファンアウト)/(パスを構成するネットの平均フ
ァンアウト) と取れば良い。これを全てのクリティカルパスを構成す
るネットに対して行なうことで、ネット毎の下限制約が
割り振られる。
【0044】次に、ステップQ2では、上下2つの制約
のうちの下限制約に関する情報をクリティカルネットの
各端子に付加する。下限制約を各端子に付加する方法
を、図5を用いて説明する。同図における各端子A,B
を囲むの一点鎖線は、クリティカルネットに関係する端
子A,Bに付加されるパスの下限制約を表している。配
線処理において、直交する2つの配線層を用いた場合、
ネットに割り振られた下限制約は同図の端子Aを囲む実
線で示した四辺形上に端子Bが置かれたときに満足され
る。
【0045】一例として、端子A,Bに付加された下限
制約をA,Bを結ぶ実線で示す制約の1/2の大きさに
取ると、A,B2つの端子に付加された下限制約を足し
合わせることで対象ネットに割り振られた下限制約に等
しくなる。すなわち、ネットに割り振られた下限制約
は、A,B2つの端子を1点鎖線が示す四辺形領域が重
ならない様に配置することによって達成できる。このよ
うにして、ステップQ2においてパスの下限制約を満足
するような配線長を確保できる端子間の制約距離を予め
規定しておく。
【0046】最後に、ステップQ6における斥力の発生
方法について説明する。図6は、ステップQ6において
パスディレイの下限値を考慮するために定義されるパス
ディレイ緩和力(斥力)Fである。ステップQ2で付加
された、パスを構成するネットの端子A,Bの下限制約
が満足されていない場合には、図6が示すように端子
A,Bに付加された下限制約の四辺形に重なりを生ず
る。この時、端子に付加された下限制約に対応する四辺
形の重なり面積に比例し、端子A,Bを結ぶ直線上で互
いに相反する向きに作用する力をそれぞれの端子に作用
させる。
【0047】但し、下限制約違反の無い場合には、すな
わち、一点鎖線の四辺形に重なりを生じない場合には、
この力は0と定義する。この力をステップQ6において
従来のパスディレイ緩和力(引力)と合成することによ
って、上限制約だけでなく下限制約をも満足する配置結
果を得ることができる。
【0048】
【発明の効果】以上の説明で明かなように、第1の発明
を用いれば、レイアウトの中で、未配線の状態における
配線径路を正確に予測できるため、配置の段階において
配線容量のみならず配線抵抗も考慮に入れることがで
き、信号伝播遅延時間を最適化することができる。
【0049】また、第2の発明によれば、回路の全ての
クリティカルパスについて、タイミングの上限制約と下
限制約とを同時に満足させる配置結果を得ることができ
るため、信号遅延時間を最適化することができる。
【図面の簡単な説明】
【図1】第1の発明における配線径路予測方法を説明す
るための簡略図。
【図2】第1の発明の配線径路予測結果と従来の配線径
路予測結果とを比較したグラフ。
【図3】第1の発明による信号伝播遅延予測精度を示し
たグラフ。
【図4】第2の発明の処理概要を示すフローチャート。
【図5】端子に対する下限制約の作成方法を説明するた
めの簡略図。
【図6】下限制約違反を改善するために用いるパスディ
レイ緩和力を説明するための簡略図。
【図7】従来の配線径路予測方法を示す簡略図。
【図8】従来のパスディレイ最適化手法の処理概要を示
すフローチャート。
【符号の説明】
1 クラスタ 3 端子 5 ネットの最小矩形 7 クラスタ内配線予測径路 9 代表点 11 ネット全体の配線予測径路 o,p 重心位置 A,B 端子 F 緩和力(斥力)
【手続補正書】
【提出日】平成5年2月9日
【手続補正1】
【補正対象書類名】明細書
【補正対象項目名】0016
【補正方法】変更
【補正内容】
【0016】
題を解決するための手段】上記目的を達成するた
め、第1の発明は、半導体集積回路上の論理セルの配置
位置を決定するに際し、端子の座標を基にして、ネット
を構成する端子間の相対距離から複数の端子集合を形成
し、この端子集合ごとに端子集合内の配線予測径路を作
成し、作成された端子集合ごと配線径路間を連結するこ
とによって、ネット全体の配線予測径路を階層的に作成
した後、作成されたネット全体の配線予測径路を用いて
信号伝播遅延時間を最適化することを特徴としている。

Claims (4)

    【特許請求の範囲】
  1. 【請求項1】 半導体集積回路上の論理セルの配置位置
    を決定するに際し、 端子の座標を基にして、ネットを構成する端子間の相対
    距離から複数の端子集合を形成し、この端子集合ごとに
    端子集合内の配線予測径路を作成し、作成された端子集
    合ごと配線予測径路間を連結することによって、ネット
    全体の配線予測径路を階層的に作成した後、 作成されたネット全体の配線予測径路を用いて信号伝播
    遅延時間を最適化することを特徴とする半導体集積回路
    における信号伝播遅延時間の最適化方法。
  2. 【請求項2】 半導体集積回路上のネットを構成する端
    子間の相対距離から複数の端子集合を形成し、 形成された端子集合内において、この端子集合内にある
    端子の重心位置を貫き、端子集合で形成される最小矩形
    の長手方向の配線を幹とし、各端子から前記幹に対して
    垂直に発生させた配線を支線とする配線予測径路を作成
    し、 各端子集合毎に、前記ネットを構成する全ての端子に関
    する重心位置に最も近い位置にある、端子集合内に形成
    した配線予測径路上の点を各端子集合の代表点として求
    め、 各代表点の重心位置を貫き、全ての代表点集合で形成さ
    れる最小矩形の長手方向の配線を幹とし、各代表点から
    前記幹に対して垂直に発生させた配線を支線とするネッ
    ト全体の配線予測径路を作成し、 作成されたネット全体の配線予測径路を用いて信号伝播
    遅延時間を最適化することを特徴とする半導体集積回路
    における信号伝播遅延時間の最適化方法。
  3. 【請求項3】 前記ネットを構成する端子間の相対距離
    から複数の端子集合を形成する際に、 ネットを構成する全ての端子の重心位置からの直線距離
    が大きい端子から順に端子集合の種として選択し、 選択された種からの直線距離が、ネットの占有面積を複
    数に等分した面積と略等価な面積を持つ円の半径長さ以
    内にある端子を同一端子集合に属させることを特徴とす
    る請求項2記載の半導体集積回路における信号伝播遅延
    時間の最適化方法。
  4. 【請求項4】 半導体集積回路上の動作制約となる信号
    径路を抽出し、抽出されたに関する上限制約と下限制約
    を求め、抽出された信号径路を構成するネットに対して
    上記下限制約を配分し、これを端子毎の制約として付加
    して端子同士が互いに近接できる最小範囲を規定し、 抽出された信号径路を構成する複数の論理セルを、前記
    信号径路全体の物理的形状を支配するパスコアセルと前
    記信号径路から分岐するネットを構成するパスブランチ
    セルとに分類し、前記パスコアセルを前記信号径路長が
    短くなる位置に配置し、 前記端子毎の制約として付加した下限制約が満たされな
    い場合には、これを改善するための斥力を発生させて下
    限制約違反を改善した後、前記パスブランチセルを前記
    ネット長が短くなる位置に配置することを特徴とする半
    導体集積回路における信号伝播遅延時間の最適化方法。
JP01865793A 1993-02-05 1993-02-05 半導体集積回路における信号伝播遅延時間の最適化方法 Expired - Fee Related JP3182244B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP01865793A JP3182244B2 (ja) 1993-02-05 1993-02-05 半導体集積回路における信号伝播遅延時間の最適化方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01865793A JP3182244B2 (ja) 1993-02-05 1993-02-05 半導体集積回路における信号伝播遅延時間の最適化方法

Publications (2)

Publication Number Publication Date
JPH06232263A true JPH06232263A (ja) 1994-08-19
JP3182244B2 JP3182244B2 (ja) 2001-07-03

Family

ID=11977692

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01865793A Expired - Fee Related JP3182244B2 (ja) 1993-02-05 1993-02-05 半導体集積回路における信号伝播遅延時間の最適化方法

Country Status (1)

Country Link
JP (1) JP3182244B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183778A (ja) * 1993-12-22 1995-07-21 Nec Corp 半導体集積回路およびその配線方法
US7856610B2 (en) 2006-05-10 2010-12-21 Elpida Memory, Inc. Method and apparatus for semiconductor integrated circuit

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07183778A (ja) * 1993-12-22 1995-07-21 Nec Corp 半導体集積回路およびその配線方法
US7856610B2 (en) 2006-05-10 2010-12-21 Elpida Memory, Inc. Method and apparatus for semiconductor integrated circuit

Also Published As

Publication number Publication date
JP3182244B2 (ja) 2001-07-03

Similar Documents

Publication Publication Date Title
KR100413861B1 (ko) 집적회로의표준셀영역내에예비셀을분배하기위한방법및장치
US5930499A (en) Method for mixed placement of structured and non-structured circuit elements
US5521836A (en) Method for determining instance placements in circuit layouts
US5666289A (en) Flexible design system
US20070101306A1 (en) Methods, systems, and media to improve manufacturability of semiconductor devices
US7159202B2 (en) Methods, apparatus and computer program products for generating selective netlists that include interconnection influences at pre-layout and post-layout design stages
JPH0749903A (ja) 集積回路のシミュレーション方法およびレイアウト方法
JPH0513729A (ja) 半導体集積回路の設計方法
US6480996B1 (en) System and method for transposing wires in a circuit design
US6609241B2 (en) Method of designing clock wiring
US7134112B1 (en) Incremental routing in integrated circuit design
US6941532B2 (en) Clock skew verification methodology for grid-based design
US20070198960A1 (en) Methods for tiling integrated circuit designs
Trimberger Computer-aided design: Automating chip layout: New computer-based layout systems are faster than their human counterparts and produce designs that almost match those created manually
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US20070204245A1 (en) Method for accelerating the RC extraction in integrated circuit designs
JP3182244B2 (ja) 半導体集積回路における信号伝播遅延時間の最適化方法
US20040003363A1 (en) Integrated circuit design and manufacture utilizing layers having a predetermined layout
US6615401B1 (en) Blocked net buffer insertion
US5825659A (en) Method for local rip-up and reroute of signal paths in an IC design
US20030074175A1 (en) Simulation by parts method for grid-based clock distribution design
US20030135837A1 (en) Method and apparatus for automatic arrangement and wiring for a semiconductor integrated circuit design and wiring program therefor
Karatsu et al. An integrated design automation system for VLSI circuits
US20030074643A1 (en) Unified database system to store, combine, and manipulate clock related data for grid-based clock distribution design
US7017134B2 (en) Automatic floor-planning method capable of shortening floor-plan processing time

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080420

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090420

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100420

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100420

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110420

Year of fee payment: 10

LAPS Cancellation because of no payment of annual fees