JPH0749903A - 集積回路のシミュレーション方法およびレイアウト方法 - Google Patents

集積回路のシミュレーション方法およびレイアウト方法

Info

Publication number
JPH0749903A
JPH0749903A JP6007611A JP761194A JPH0749903A JP H0749903 A JPH0749903 A JP H0749903A JP 6007611 A JP6007611 A JP 6007611A JP 761194 A JP761194 A JP 761194A JP H0749903 A JPH0749903 A JP H0749903A
Authority
JP
Japan
Prior art keywords
integrated circuit
duration
physical
load impedance
node
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP6007611A
Other languages
English (en)
Inventor
Hung K Hua
ヒュン・ケイ・フア
Arthur B Oliver
アーサー・ビィ・オリバー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JPH0749903A publication Critical patent/JPH0749903A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【目的】 集積回路のレイアウトを最適化するための、
性能が高められたシミュレーションモデル化技術を提供
する。 【構成】 モデル化技術は性能が高められた方法を利用
する。物理的設計56は性能設計54を高めるので、結
果としての集積回路が実際の負荷と要求された速度のも
とで適切に動作するか否かを決定するとき、シミュレー
ションモデルで配置および配線が確実に考慮される。選
択されたデバイスの初めの寸法調整は評価された持続期
間と負荷ファクタにより行なわれる。続いて、選択され
たデバイスはより最適な物理的持続期間と負荷により再
寸法調整される。全シミュレーションモデル化は、シリ
コン基板上に置かれる最終レイアウト生成に先立つシミ
ュレーションの利用により達成される。そのように、シ
ミュレーション方法は、物理的設計の結果の予期されな
い性能エラーを修正する流れを与える。

Description

【発明の詳細な説明】
【0001】
【発明の分野】この発明は高められた集積回路のシミュ
レーションレイアウト方法に関し、かつより特定的に
は、集積回路上に設置される様々な電子デバイスの最適
な寸法調整を決定する、レイアウト駆動性能の設計方法
に関する。
【0002】
【関連技術の背景】集積回路を製造するプロセスは、所
望された回路の結果を達成するのに必要な、ネットワー
ク内でともに結合される様々なデバイスの論理/回路ス
ケッチから始まる。各ネットワークは、一連の電子デバ
イスを含み、あるデバイスの出力ノードは1つ以上の次
のデバイスの入力ノードに接続される。したがって各デ
バイスは次に接続されたデバイスを起動するのに必要な
適切な駆動をするよう寸法を調整される。選択されたデ
バイスの寸法調整は、次のデバイスの所望されたファン
アウト負荷を満たすために、回路のゲート構成の増加ま
たは減少が必要だろう。
【0003】ネットワーク内の接続されたデバイスはあ
るタイミングおよび負荷の制約の下で動作しなければな
らない。負荷およびタイミングについて知っていること
が、高性能VLSI回路の設計にあたって重要である。
ある電子デバイスは独立素子として、またはある負荷制
約の下では完璧に動作するが、その同じデバイスがネッ
トワーク内の様々な他のデバイスに結合されたときは動
作しないことがある。たとえば、デバイスが既知の負荷
に結合されるなら、そのデバイスは一般に、許容可能な
時間または持続期間内でその入力ノードからその出力ノ
ードに信号を伝播するよう構成され得る。しかしなが
ら、その同じデバイスがネットワーク内の一連のデバイ
スに結合されるなら、それは、その出力ノードでみられ
るさらなる負荷のために、その目標とされる持続期間ま
たは速度では動作しないかもしれない。この問題は、デ
バイス間の相互接続が非常に大きいかまたは小さい場合
にはいつでも重大なものとなる。配線または経路指定は
関連するインピーダンス負荷を伴う。長く薄い配線は、
配線が短く幅が広い場合よりも大きなインピーダンスを
示す。さらに、配線の長さが増すにつれて、抵抗性−容
量性定数も増し、それにより配線を通して送られたいか
なる信号の応答時間をも遅らせる。そのように、配線を
長くすると関連する信号持続期間が増し、制限された時
間制約の下ではあるネットワークが動作不能となるだろ
う。高速VLSIプロセス技術はしばしば、より小さな
デバイスレイアウトを享受するが、それらは一般にデバ
イス間に設けられた比較的より大規模な配線を有する。
配線の長さは、各デバイスがチップまたは集積回路領域
内に配置される特定の位置に依存して、かなり変化する
だろう。
【0004】負荷の下で様々なネットワークの性能のモ
デル化を試みるにあたって、従来のレイアウト方法では
コンピュータシミュレーション技術が利用される。コン
ピュータシミュレーションは、各デバイスの出力ノード
に評価された負荷インピーダンスを与えることを必然的
に伴う。評価された負荷が与えられると、入力ノードお
よび出力ノードの起動の間の伝播期間または持続期間は
公式化され得る。各デバイスに対する評価された負荷お
よび評価された持続期間はそれにより、入力としてコン
ピュータに提示され、様々なネットワークまたは経路が
所望された出力を所望された時に生成し得るかどうか
(それらが所望の速度で動作し得るかどうか)を決定す
る。出力を生成するのに必要な時間制約をわずかに超え
る様々なネットワークは「クリティカルネットワーク」
として示される。一旦クリティカル経路が確認される
と、設計者はしばしば経路内の選択されたデバイスの回
路をチューニングまたは寸法調整し、全ネットワーク、
特にクリティカルネットワークが確実に速度性能の目標
を満たすようにする。
【0005】寸法調整プロセスは、それぞれのデバイス
が評価された負荷に対してより大きくまたはより小さく
駆動するように、各デバイス内の回路のゲートの幅また
は長さを変更することを含むかもしれない。デバイスが
特定されかつ寸法が調整された後で、結果として生じる
ネットワークがフォトリソグラフィによりウェハ上に物
理的に与えられる。不都合にも、寸法調整の変更は一般
に、デバイスが集積回路領域上またはその中のどこに物
理的に位置付けられるかを知らずに行なわれる。このた
め、設計者はデバイス間に結合される配線の量を知らな
いであろう。たとえば、チップの右下の角にあるデバイ
スに接続される左上の角のデバイスは、長い配線による
増加した負荷インピーダンスを相殺するのに必要なより
大きい駆動能力を有していなければならない。VLSI
設計では、チップを横切る配線は幾千ミクロン以上にも
なり得るので、配線の長さはいっそう大きく変化する可
能性がある。チップの設計者が、各デバイスがチップ上
に物理的に位置付けられる大体の位置を何とかして予想
するかまたは規定できない場合、各クリティカルネット
ワークが回路動作に必要な速度要件を満たし得るかどう
かを正確に決定することはできない。
【0006】従来のレイアウト方法は一般に、物理的レ
イアウトパラメータおよび/またはデバイスの位置につ
いての知識なしのコンピュータシミュレーションを含
む。評価された負荷および持続期間の下でのシミュレー
ションが達成された後、選択されたデバイスは寸法を調
整され、かつシリコン上での処理のために最終レイアウ
トがマスクショップに送られる。設計者は一般に、最初
につくられたシリコンのサンプルがテストされるまで最
終レイアウトのクリティカルネットワークが所望の速度
で動作するかどうかがわからない。この最初のシリコン
が適切に動作しない場合、設計者は特定の回路について
再び寸法を調整しなければならず、かつ次のシリコンの
生成のための別の最終レイアウトを形成しなければなら
ない。シリコンの取換えは費用がかかるばかりでなく、
時間もかかる。現市場では、製造者が動作可能な作動製
品を速やかに顧客に提供することが是非とも必要であ
る。したがって、市場では、最初につくられたシリコン
が、設計者により示された性能の目標において動作する
ことが要求される。幾週間もかかるかも知れない次の取
換えは、避けなければならない。
【0007】
【発明の概要】上で略述された問題は、この発明による
集積回路レイアウト方法によりおおむね解決される。す
なわち、この方法は、選択されたデバイスの再寸法調整
に先立って、モデル化された集積回路領域上のシミュレ
ートされた配置および配線の経路指定を利用する。再寸
法調整に先立つ配置により設計者は、従来の評価された
負荷および持続期間よりもはるかに実際の負荷および持
続期間に近い物理的負荷インピーダンスおよび物理的持
続期間を確かめることができる。したがって、「物理的
負荷」および「物理的持続期間」は、動作するネットワ
ーク上に位置づけられた各デバイスの実際の負荷および
持続期間に実質的に等しい、それぞれの負荷および持続
期間を示す。
【0008】この発明は、従来のコンピュータシミュレ
ートされた寸法調整方法におけるような評価された負荷
および評価された持続期間を用いて、初期ベンチマーク
寸法調整を行なう。しかしながら、この発明はさらに、
集積回路領域内の各デバイスおよびネットワークをモデ
ル化しデバイス間の実際の経路指定をシミュレートし、
物理的負荷および物理的持続期間を得る。物理的負荷お
よび物理的持続期間は、最適な回路の性能に必要な、次
の寸法調整、または「再寸法調整」をより正確に決定す
るために、次のコンピュータシミュレーションに利用さ
れ得る。物理的負荷および持続期間を知ることで、設計
者は、デバイス間に設けられる配線が変化することを考
慮に入れて、ある選択されたデバイスを再寸法調整する
ことができる。このため、この発明は、シリコンが製造
される前に、実際のタイミングおよび負荷制約におい
て、または実質的にそれに近い条件の下で機能する、真
の性能を高められた集積回路のレイアウト設計のモデル
化を達成する。従来の方法での評価された持続期間およ
び評価された負荷は設計者に十分な情報を与えず、した
がってそれは、本発明によってより最適なモデル化を達
成するため、シミュレーション入力として実際の物理的
持続期間および物理的負荷を利用して、高められる。こ
の方法は、物理的設計から結果として生じる予測されな
かった性能エラーを修正するような流れを与える。従来
の方法では、設計者は、そのようなエラーを修正する能
力を持たずに、実行の間に費用のかかる仮定をして、よ
り従来的な配線負荷モデルまたはより制限されたタイミ
ング制約を示すような物理的設計をすることで、そのよ
うな問題を最小にしようと努めるだろう。この仮定が誤
りであるとわかって、結果としてシリコンおよび設計時
間を無駄にすることもあるだろう。
【0009】広くは、この発明は集積回路のレイアウト
方法を企図する。この方法は複数の電子デバイスを設け
るステップを含み、各デバイスは入力ノードと、出力ノ
ードと入力およびそれぞれの出力ノードの起動の間の評
価された持続期間とを有する。電子デバイスの動作は、
モデル化された各デバイスの入力ノードでの入力信号を
シミュレートできるコンピュータシミュレートされたプ
ログラムに基づき、モデル化される。選択されたデバイ
スは、最適な持続期間および電圧の大きさを達成するた
めに、入力信号に応答する第1のコンピュータシミュレ
ートされた出力信号により、寸法調整される。本発明の
重要な局面は、シミュレートされた集積回路領域内の特
定の位置で各デバイスの配置および経路指定をモデル化
する次のステップである。それから、コンピュータシミ
ュレートされた入力信号が各デバイスの入力ノードで再
び与えられ、選択されたデバイスの再寸法調整を実行
し、かつ最適の持続期間および電圧の大きさを有する各
出力ノードからの第2のコンピュータシミュレートされ
た出力信号を達成する。コンピュータシミュレートされ
た入力信号はモデル化された配置および経路指定ステッ
プの後に再び与えられるので、第2のコンピュータシミ
ュレートされた出力信号は、最終レイアウトパラメータ
によって集積回路が動作する第1のコンピュータシミュ
レートされた出力よりも真に近い読出を示す。より正確
となるので、第2のコンピュータシミュレートされた出
力信号は、選択されたデバイスの正確な再寸法調整に必
要な回路の動作を、より的確に示す。
【0010】この発明はさらに、レイアウトセルのライ
ブラリから、各ネットワーク内で利用される特定の各電
子デバイスの選択を企図する。各デバイスのレイアウト
セルは、関連する評価された負荷インピーダンスおよび
評価された持続期間を有する。
【0011】さらにこの発明は、選択された電子デバイ
スが配置される特定の位置の調整を企図する。再寸法調
整ステップおよび調整ステップは、最終レイアウトの形
状を得るために繰り返され得る。最終レイアウトの形状
を実現するマスクが生成できるので、その最終レイアウ
トはシリコン基板上で処理されて集積回路を生成し得
る。
【0012】この発明の他の目的および利点は、以下の
詳細な記述を読み、添付の図面を参照すると明らかとな
るだろう。
【0013】この発明には様々な修正および代わりとな
る形態があるが、その特定的な実施例は例示のために図
面に示され、かつここで詳細に述べられるだろう。しか
しながら、その図面および説明は、この発明を開示され
た特定の形態に限定することを意図しておらず、前掲の
特許請求の範囲により規定されるこの発明の精神および
範囲内の、すべての修正、均等物および代替物をカバー
することが意図される。
【0014】
【実施例の詳細な説明】ここで図1を参照すると、複数
の電子デバイス12を含む、ネットワーク10が示され
る。ここで定義されるように、デバイス12は、特定の
入力信号に応答する特定の出力信号を与える、何らかの
回路を含む。例示のそのようなデバイスは、インバー
タ、NANDゲート、NORゲート、レジスタ、カウン
タ、マルチプレクサ、エンコーダ、バッファ、フリップ
フロップ、伝送ゲート(またはパスゲート)、ダイオー
ド等を含むが、それらだけに限定されるわけではない。
各デバイス12は、少なくとも1つの入力ノードと、少
なくとも1つの出力ノードと、入力ノードの起動と次の
出力ノードの起動の間の特定の伝播遅延または持続期間
を有して示される。ネットワーク10は、集積回路内で
利用され得る多くの種々のタイプのネットワークのほん
の一例を示す。ゆえに、ネットワーク10は例示の目的
のみのために示され、この発明によってシミュレートさ
れかつ寸法調整され得る、様々なタイプのデバイスの組
合わせまたはネットワークを制限するものではない。
【0015】ネットワーク10はあるタイミング制約内
の動作を必要するであろうから、そのため各デバイス1
2の持続期間は特定の値を超えてはならない。たとえ
ば、ネットワーク10の動作に割り当てられた全時間は
10ナノ秒であるとする。その場合、全ネットワークの
時間制約が10ナノ秒よりも少なくなるように、デバイ
ス12aおよび12bは3ナノ秒よりも短い時間で、デ
バイス12cは4ナノ秒よりも短い時間で、かつデバイ
ス12dおよび12eは3ナノ秒よりも短い時間で動作
するべく制約されるだろう。デバイス12aおよび12
bは、入力信号を実質的に同時にデバイス12cに与え
るべく、ほぼ同じ持続期間で入力信号を伝播しなければ
ならない。
【0016】タイミング制約は、ネットワーク10を実
現する集積回路について要求される速度および最適な性
能を達成するため、ネットワーク10がその制約によっ
て動作しなければならない、目標となる制約である。先
行技術の設計のコンピュータシミュレーション方法は、
まず各デバイス12のために特定のセルレイアウトを選
択し、評価された持続期間および評価された出力負荷を
有するようにそのセルレイアウトを割り当てる。これら
の評価された値は、各デバイスの次の寸法調整に要求さ
れるコンピュータシミュレーションを実行する際に、必
要である。図2に示されるように、各デバイス12はト
ランジスタのレベルで表わされ得る。適切なトランジス
タ技術にはMOSまたはバイポーラのような電界効果ト
ランジスタ(FET)が含まれるが、他の技術も同様に
本発明によるシミュレーションに適する。図2に示され
るように、物理的レイアウトによって引起こされる実際
の負荷14(インピーダンスおよび/またはキャパシタ
ンス)は、各デバイスの出力ノードにおいて示される。
負荷14は、従来の回路シミュレーションモデルにより
利用される評価された負荷に等しいかもしれないし、等
しくないかもしれない。実際には、実際の負荷14は通
常、(1)デバイス12間に設けられた配線の長さおよ
び(2)次に接続されるデバイス12の入力負荷インピ
ーダンスおよびキャパシタンスに依存して、評価された
負荷の値よりも大きいか、または小さい。したがって、
実際の負荷14は、各デバイス12がシリコンの表面領
域上に設置される特定の位置についてのファクタとな
り、さらに各デバイス12を表わすセルライブラリから
選ばれたセルレイアウトについてのファクタでもある。
たとえば、NANDゲート12cがかなり大きな入力イ
ンピーダンスおよびキャパシタンスと関係付けられ、か
つインバータ12aとNANDゲート12cとの間の配
線がかなり長い場合には、インバータ12aは、NAN
Dゲート12bがNANDゲート12cを駆動するのと
実質的に同時にNANDゲート12cを起動するのに必
要なさらなる駆動力を与えるよう、寸法調整される必要
があるだろう。さもなければ、ネットワーク10は目標
とされる動作速度では動作しないだろう。
【0017】図1および図2は、可能な限り正確に各デ
バイス12の負荷および持続期間をモデル化しようと試
みるにあたっての、重要な点を示している。しかしなが
ら、設計者が各デバイス12をチップ領域に設置しかつ
経路指定するまでは、負荷および持続期間の忠実なモデ
ル化は最良の状態でも難しい。1つ以上のデバイスパラ
メータの何らかの変化は、ネットワーク10内のデバイ
ス12のすべてに影響を与えるだろう。ゆえに、各デバ
イス12が集積回路領域の大体どこに位置付けられるか
を知ることで最終または最終に近いモデル化されたレイ
アウトを達成することが必須となり、それによって選択
されたデバイス12の寸法調整が比較的少ない反復によ
って達成される。
【0018】ここで図3を参照すると、デバイス12を
表わす様々なレイアウトセルの構造16の部分的な形状
図は、集積回路領域36内に形成されたネットワーク1
0として、ともに接続されている。ここに定義されるよ
うに、「集積回路領域」は、実際の最終レイアウト領域
を表わすべくモデル化された、コンピュータシミュレー
トされた領域のことである。集積回路領域は実際の最終
物理的レイアウト領域、またはデバイスが実際のシリコ
ン基板の領域上に実際に設置される領域を意味するもの
ではない。この方法は、デバイスが実際にシリコン上に
置かれる前のシミュレーションモデル化のためのもので
ある。第1のデバイス12aは、セル16aとしてシミ
ュレートされたレイアウトの形態で示される。セル16
aは、一般に技術において周知であり集積回路の製造に
必要なポリシリコン、金属、二酸化ケイ素、窒化物等の
ような種々の構造の拡散、エッチング、堆積等のシミュ
レートされた領域を示す。特定的には、セル16aは、
たとえばMOS技術において周知のpチャネルおよびn
チャネルトランジスタの、ゲート酸化物20上にポリシ
リコンゲート18が置かれた、インバータ12aの形状
のレイアウトを部分的に表わす。出力ノードは、図示の
ようにコンタクト領域24によって金属22としてとも
に結合され得る。しかしながら、コンタクトまたは経路
指定の他の形態が利用されることがあり、かつ必要なデ
バイスの結果を与えるのに適するすべてのレイアウトの
形態がこの発明の範囲内のものであることが理解され
る。出力ノード26は、図1および図2の例では2入力
NANDゲートの入力である、セル16cに接続されて
示される。さらに、セル16aの入力ノード28は、セ
ル16b(例示のデバイスは2入力NANDゲート12
bである)に結合されて示される。先行技術の設計で
は、セル16間の経路指定30は、シミュレーションが
完了されて初めて形成される。したがって、先行技術の
モデル化の設計において、設計者が経路指定30の広さ
を知ることはなく、また16c、16dおよび16eの
ような次に接続されるセルのゲートのおおよその寸法を
知ることもないだろう。しかしながら、以下で説明され
るように、この発明によるモデル化の設計は、経路指定
30がモデル化される前とその後の両方で、さらに、セ
ル16のゲートのおおよその寸法が選択されかつ最初に
寸法調整される前とその後の両方でシミュレーションを
行なう。
【0019】図4は、集積回路領域36上のおおよその
位置での配線の経路指定およびデバイスのセル16の配
置の後に、何が起こるかを示す。やや長く、曲げられた
配線の経路指定32は、配置および経路指定の制約の結
果として必要となるだろう。第1のデバイスのセル16
aの出力ノード26を第2のデバイスのセル16cに接
続する経路指定32は、領域の混雑を回避するために再
経路指定される必要がありかつ、さらに、たとえばポリ
シリコンから金属、ポリシリコンから窒化物、金属から
ポリシリコン、第1の金属から第2の金属、第1のポリ
シリコンから第2のポリシリコン等への様々なジャンパ
と関連付けられ得る。さらに、図4に示されるセル16
cは最初の選択されたセルよりも小さくするため再構成
を必要とするかもしれない(これは、再構成されたセル
が配線を通ることをそれほど必要としなくなったり、再
構成されたセルがより小さいゲートサイズを有する場合
などに起こりうることである)。再構成されたセル16
cおよび引伸ばされた経路指定32のために、セル16
aはさらに駆動を必要とするだろう。このため、pチャ
ネルトランジスタとnチャネルトランジスタの両方のゲ
ート幅34は図3に示されるゲート幅よりも大きく示さ
れる。駆動をより増大させる(駆動をより減少させる)
他の方法が、単なるゲート幅の増大または減少のほかに
所望された結果を達成するために施されてもよい。たと
えば、ゲートの長さは減少または増大され得るが、同様
に、同じ結果を達成するために外部のバッファ回路を加
えたり除いたりすることもできる。さらに、配線の経路
指定32を、長くされた経路指定を相殺するために広く
することもできる。ゆえに、ここに定義される「寸法調
整」および「再寸法調整」は、シミュレートされた最適
な性能の結果を達成するため集積回路領域上に与えられ
た何らかのデバイスまたは経路指定についての、何らか
の再構成の形態のことである。
【0020】図5を参照すると、流れ図に、この発明に
よる高められた集積回路のレイアウト方法が示されてい
る。ここに述べられる正確なモデル化技術は性能設計技
術と物理的設計技術の両方を利用し、実際の最終の回路
のレイアウトの形状での、またはそれに近い、より広い
モデル化を可能にする。このモデル化技術は一般に従来
のモデル化に見られる性能設計ステップ54から始まる
が、性能技術に加えて、デバイスおよび関連する配線が
集積回路領域上でモデル化された後に物理的持続期間お
よび物理的負荷を利用したいくつかの物理的設計ステッ
プ56を行ない、選択されたデバイスのより正確な再寸
法調整をなしとげる。モデル化は、カリフォリニア州、
サニーベイル(Sunnyvale )のシルバー・リスコ社(Si
lvar-Lisco. Inc.)により製造されたGARDSのよう
な技術分野において一般に知られる、シミュレーション
プログラムとインタフェースするプログラムを用いて行
なわれる。GARDSはFORTRANに書込まれる自
動経路指定ルーチンの小さな部分を除き、大抵C言語で
書込まれる。GARDSプログラムは、マサチューセッ
ツ州、チェルムスフォード(Chelmsford)のアポロ社
(Apollo Corporaion)により製造された、ワークステ
ーションで実行され得る。GARDSはさらに、カリフ
ォルニア州、サニーベイルのヒューレットパッカード社
により製造されたモデル番号700シリーズ、または、
ニューヨーク州、アーモンク(Armonk)のIBM社によ
り製造されたモデル番号RS6000のワークステーシ
ョンで実行され得る。GARDSのような自動配置およ
び経路指定プログラム(ゲートアレイ設計システム)
は、自動のセル単位のレイアウトツールを与える。対話
式配置および自動経路指定はシミュレーションプログラ
ムにより達成され、それらはここに述べられる物理的設
計ステップにフィードバックされる。物理的設計ステッ
プはそれにより、集積回路領域上のモデル化された各デ
バイスのおおよその位置を知って実行される。したがっ
て、再寸法調整は評価された負荷および持続期間に基づ
くばかりではなく、GARDSのようなシミュレートさ
れた配置および経路指定プログラムから得られたより正
確な負荷および持続期間に基づいても行なわれる。
【0021】ステップ38に示されるように、図5に示
される第1のステップは1つ以上の電子デバイス12を
デバイスのライブラリから選択することである。一般
に、集積回路の製造業者はコンピュータメモリ内にスト
アされた多数のセルのライブラリを有し、それらは引出
されかつネットワーク10内の選択された位置に配置さ
れる。入力および出力の位置と(たとえばパススルーの
経路指定があるかないかということのために起こりう
る)指定された形態およびサイズの制限に依存して、た
とえばインバータ回路を表わすいくつかの異なるセルが
あるだろう。それにより、セルのライブラリが呼出さ
れ、特定のセルの特定の時間遅延および負荷ファクタが
コンピュータシミュレートされたプログラムに示され
る。
【0022】セルのライブラリから選ばれた各セルは、
固有の時間遅延および遷移(外部)遅延のような、時間
遅延の特性を有する。固有の遅延とは外部接続がない特
定のセルの機能にかかる遅延であり、遷移遅延とはセル
および次に接続されたデバイスの負荷に接続された配線
によるものである。1つ以上のデバイスのつながりから
なるタイミング経路には、経路を通って伝播する信号に
必要とされる、時間遅延が付随する。全デバイスの持続
期間の合計が経路の遅延制限よりも少ないかまたは等し
くなるように、許容時間または持続期間を経路内の各デ
バイスに割り当てるための、多くの方法がある。デバイ
スは、通常その持続期間により寸法調整される。各セル
はまた、ある固有のおよび外部の負荷ファクタを有す
る。オペレータは次に接続されるデバイスがどのくらい
の数ネットワーク内で利用されるかについて確かめるこ
とはできないので、固有のおよび外部の持続期間および
負荷ファクタはともに実行段階54でしか評価できな
い。ネットワークをコンピュータによりモデル化する設
計者は一般に、性能段階54では配線の大きさまたは次
に接続されたデバイスに必要な再寸法調整の量を知らな
い。ゆえに、設計者はできる限りそれらの値を評価しか
つそれらを、ブロック42およびブロック44で示され
るようにコンピュータシミュレーションのモデルに入力
しなければならない。
【0023】一旦選択されたセルが相互接続されかつ評
価された値が入力されると、コンピュータにより生成さ
れた入力信号はブロック46で示されるように、モデル
化されたネットワーク10上に与えられる。入力信号は
いかなる値にもなり得るが、好ましくは実際の動作に必
要な大きさおよび速度で選択される。入力信号は、厳し
い状況の下で速度の要件を満たすことができるかどうか
を決定するために、クリティカルネットワークに「スト
レスを与える」べく変えられ得る。1つ以上のクリティ
カルネットワーク、または何らかの他のネットワークが
適切に動作しない場合、第1のシミュレートされた出力
信号は何らかのそのような不適切な動作を示すことを測
定され得る。第1の出力信号の測定はステップ48に示
される。測定の結果不適切な動作が示されると、1つ以
上のネットワーク10内の1つ以上のデバイス12は、
次のコンピュータシミュレーションがすべてのネットワ
ークを適切に動作させるよう、寸法調整され得る。した
がって、寸法調整ステップ50は反復プロセスとなり
得、かつ好ましくは最適な解決策に収束する。しかしな
がら、ある状況の下では、あるデバイスの変化は他のデ
バイスの種々の変化を引起こすので、集約的な解決を妨
げるだろう。そのような場合には、オペレータは、シミ
ュレーションモデルの可変性のパラメータを調節するこ
とが必要であるとわかるだろう。
【0024】一旦最初の寸法調整が完了すると、性能設
計54も完了される。しかしながら、最終レイアウトが
性能設計54のみで行なわれるなら、結果として生じる
集積回路は所望の速度で動作しないだろう。配線の経路
指定およびデバイスの再寸法調整の際の予期不可能な変
化のため、実際の負荷は評価された負荷の値には実質的
に等しくないかもしれない。したがって、第2および第
3のシリコン取換えが行なわれなければならないかもし
れない。この問題を解決するために、この発明は性能設
計54に続いて物理的設計56を利用する。
【0025】物理的設計は選択された電子デバイスを取
出すことから始まり、そのうちのいくつかのデバイスは
前もって寸法を調整され、かつ集積回路領域36内の特
定の位置に配置される。このデバイス配置および配線の
経路指定のステップはそれぞれ、ブロック52に示され
る。コンピュータは上述の自動配置および経路指定プロ
グラムとインタフェースするプログラムを用いて、配置
ルーチンを実行する。具体的には、プログラムは、入力
されたセルの形状および各デバイスのノードの位置につ
いての情報を受取る。各セルの内部の表現は、コンピュ
ータメモリにストアされた特定の位置の領域でモデル化
される。各デバイスのモデルは、コンピュータ入力信
号、またはクロック信号を受取るべく適合され、それら
の信号はタイミング経路と呼ばれる特定のポイント間の
時間遅延が確かめられ得るように、タイミングポイント
をトレースして識別するのに利用される。性能上の問題
を抱えるタイミング経路は、以下の再寸法調整ステップ
により修正され得る。自動配置および経路指定プログラ
ムとインタフェースすることにより、本方法は、最終レ
イアウトとほぼ同じ大きさを有するデバイス12間の配
線の経路指定および配置をシミュレートできる。配置お
よび経路指定をシミュレートするプログラムは部分的に
LISP言語で書込まれ、かつ部分的にC言語で書込ま
れる。このプログラムはマサチューセッツ州、チェルム
スフォードのアポロ社のモデル番号DN3000および
DN4000、またはカリフォルニア州、サニーベイル
のヒューレットパッカード社のモデル番号400シリー
ズのワークステーションに従って実行される。
【0026】一旦特定の位置および配線ジオメトリが実
質的に固定されると、設計者は、評価された持続期間お
よび評価された負荷よりも実際の持続期間および実際の
負荷に実質的に近い、物理的持続期間および物理的負荷
を確かめることができる。したがって、入力として物理
的持続期間および負荷を有するので、物理的設計56の
間のコンピュータシミュレーションは、ブロック58で
示されるように、コンピュータシミュレートされた入力
をブロック60およびブロック62で示されるより真に
近い変数とともに再び与えることができる。それにより
第2のシミュレートされた出力信号が生成され、かつそ
の信号のタイミングおよび大きさに基づき、決定ブロッ
ク64に示されるように、コンピュータシミュレーショ
ンのモデル化により最適な要求される性能が達成される
かどうかが決定される。測定された第2のシミュレート
された出力信号が適切な結果を示さない場合、選択され
た電子デバイスは再寸法調整され(66)、あるいはデ
バイスの配置はモデル化された回路領域上で調整される
(68)。
【0027】再寸法調整および配置の調整は反復プロセ
スであり得るが、集約的な解決をするためにいくつかの
動作を必要とするだろう。好ましくは、物理的設計56
が最初の性能設計のベンチマークに従うため、最終レイ
アウトの大きさに近いモデル化された寸法調整の構成が
予め定められていることを知ることにより、解決はより
集約しやすくなる。一旦解決が集約されると、好ましく
は1回またはわずかな反復の後で、シミュレートされた
レイアウトの解決が確かめられ、最終レイアウトが生成
される(70)。当該技術において標準的なテクニック
によれば、最終のレイアウトはデジタル化され、パター
ン生成(Pattern generaion : PG)によりマスク生成ス
テップ72に送られる。その後、ステップ74に示され
るように、ウェハは生成されたマスクにより処理され得
る。本発明のモデル化技術により生成されたウェハは好
ましくは次のマスクを生成する必要なく動作する、すな
わち初めのマスクに落ちつく。したがって、この方法は
好ましくは、所望された速度で動作しかつ結果として生
じる実際の負荷で動作する、第1のシリコンを生成す
る。この発明が多くのタイプのデバイス12およびネッ
トワーク10、または多くの類似しないタイプのトラン
ジスタ技術について適用できることが、この開示の利益
を受ける当業者により認識されるだろう。さらに、図示
され説明されるこの発明の形態が好ましい実施例の一例
として述べられていることも理解されるだろう。様々な
修正および変更は、前掲の特許請求の範囲で述べられた
本発明の精神および範囲から逸脱することなくなされ得
る。前掲の特許請求の範囲は、ここで述べられるのと実
質的に同じ機能を果たすすべてのそのような修正および
変更を含むと解釈すべきである。
【図面の簡単な説明】
【図1】本発明による、モデル化可能な例示の電子デバ
イスのネットワークの論理図である。
【図2】本発明による、関連する負荷を有する図1の論
理図についての回路図である。
【図3】本発明による、集積回路領域上に配置された図
1および図2の回路についての、部分的な形状図であ
る。
【図4】本発明による、再寸法調整されたデバイスが集
積回路領域上に配置される、図1および図2の回路につ
いての、部分的な形状図である。
【図5】本発明による、高められた集積回路のレイアウ
トの方法についての流れ図である。
【符号の説明】
54 性能設計 56 物理設計
───────────────────────────────────────────────────── フロントページの続き (72)発明者 アーサー・ビィ・オリバー アメリカ合衆国、78759 テキサス州、オ ースティン、バケロ・コーブ、8403

Claims (17)

    【特許請求の範囲】
  1. 【請求項1】 電子デバイスのモデルを与えるステップ
    を含み、各デバイスは入力ノードと、出力ノードと、前
    記入力ノードおよび前記出力ノードの起動の間の評価さ
    れた持続期間とを有し、 コンピュータシミュレートされた入力信号を前記各入力
    ノードに与えるステップと、 選択された前記複数の電子デバイスの寸法調整をして、
    最適な持続期間および電圧の大きさを有する前記各出力
    ノードからの第1のコンピュータシミュレートされた出
    力信号を達成するステップと、 集積回路領域内の特定の位置で前記デバイスの各々のモ
    デルを構成するステップと、 前記コンピュータシミュレートされた入力信号を前記各
    入力ノードに再び与えるステップと、 選択された前記電子デバイスを再寸法調整して、最適な
    持続期間および電圧の大きさについての前記各出力ノー
    ドからの第2のコンピュータシミュレートされた出力信
    号を達成するステップとを含む、集積回路のシミュレー
    ション方法。
  2. 【請求項2】 前記構成ステップが、第1の電子デバイ
    スの出力ノードを第2の電子デバイスの入力ノードに接
    続するステップを含む、請求項1に記載の集積回路のシ
    ミュレーション方法。
  3. 【請求項3】 前記第1のデバイスの出力ノードが、前
    記第1および第2のデバイスの間に与えられた配線のイ
    ンピーダンスおよび前記第2のデバイスの入力ノードで
    のインピーダンスに実質的に等しい物理的負荷インピー
    ダンスを含む、請求項2に記載の集積回路のシミュレー
    ション方法。
  4. 【請求項4】 前記構成ステップが、前記第1および第
    2の電子デバイスが前記集積回路領域内に配置されかつ
    経路指定される特定の位置の関数として前記物理的負荷
    インピーダンスを決定するステップを含む、請求項3に
    記載の集積回路のシミュレーション方法。
  5. 【請求項5】 前記物理的負荷インピーダンスを利用し
    て前記複数の電子デバイスの最適な性能を達成するステ
    ップをさらに含む、請求項3に記載の集積回路のシミュ
    レーション方法。
  6. 【請求項6】 前記再び与えるステップが、前記第1の
    デバイスの入力ノードの起動と前記第1のデバイスの出
    力ノードの起動の間の物理的持続期間を決定するステッ
    プを含む、請求項1に記載の集積回路のシミュレーショ
    ン方法。
  7. 【請求項7】 前記構成ステップが、前記第1および第
    2の電子デバイスが前記集積回路領域内で配置されかつ
    経路指定される場合の関数として前記物理的持続期間を
    決定するステップを含む、請求項6に記載の集積回路の
    シミュレーション方法。
  8. 【請求項8】 前記物理的持続期間を利用して前記複数
    の電子デバイスの最適な性能を達成するステップをさら
    に含む、請求項6に記載の集積回路のシミュレーション
    方法。
  9. 【請求項9】 前記配置および経路指定ステップが、セ
    ルライブラリから集積回路領域内の前記特定の位置へ前
    記デバイスを表わす選択されたセルを経路指定するステ
    ップを含む、請求項1に記載の集積回路のシミュレーシ
    ョン方法。
  10. 【請求項10】 電子デバイスのモデルを設けるステッ
    プを含み、各デバイスは入力ノードおよび出力ノードを
    含み、 前記各出力ノードでの評価された負荷インピーダンスお
    よび前記各入力ノードおよびそれぞれの前記出力ノード
    の起動の間の評価された持続期間を与えるステップと、 コンピュータシミュレートされた入力信号を各入力ノー
    ドに与えるステップと、 各出力ノード上の第1のシミュレートされた出力信号を
    測定するステップと、 測定された前記第1のシミュレートされた出力信号に応
    答して選択された前記複数の電子デバイスの寸法を調整
    し、前記評価された負荷インピーダンスおよび持続期間
    で最適な性能を達成するステップと、 集積回路領域内の特定の位置で前記各デバイスのモデル
    を構成して物理的負荷インピーダンスおよび物理的持続
    期間を得るステップと、 前記コンピュータシミュレートされた入力信号を前記各
    入力ノードに再び与えるステップと、 各出力ノード上の第2のシミュレートされた出力信号を
    測定するステップと、 選択された前記複数の電子デバイスを再寸法調整して前
    記物理的負荷インピーダンスおよび前記物理的持続期間
    での最適な性能を達成するステップとを含む、集積回路
    のシミュレーション方法。
  11. 【請求項11】 複数の電子デバイスを設ける前記ステ
    ップが、前記各電子デバイスの回路のレイアウトを表わ
    す特定のセルをセルのライブラリから選択するステップ
    を含む、請求項10に記載の集積回路のシミュレーショ
    ン方法。
  12. 【請求項12】 評価された負荷インピーダンスおよび
    評価された持続期間を与える前記ステップが、前記それ
    ぞれの各セルのためのストアされ評価された負荷インピ
    ーダンスおよび評価された持続期間を得るステップを含
    む、請求項10に記載の集積回路のシミュレーション方
    法。
  13. 【請求項13】 前記物理的負荷インピーダンスおよび
    前記物理的持続期間が、前記複数の電子デバイスが前記
    集積回路領域内でモデル化される特定の位置の関数であ
    る、請求項10に記載の集積回路のシミュレーション方
    法。
  14. 【請求項14】 前記再寸法調整ステップが、前記複数
    の電子デバイスが前記集積回路領域内でモデル化される
    特定の位置を調整するステップを含む、請求項10に記
    載の集積回路のシミュレーション方法。
  15. 【請求項15】 再寸法調整および調整ステップを繰り
    返して最終レイアウトの構成を得るステップと、 前記最終レイアウトの構成を実現するマスクを生成する
    ステップと、 前記最終レイアウトの構成をシリコン基板上で処理して
    集積回路をつくるステップとをさらに含む、請求項10
    に記載の集積回路のシミュレーション方法。
  16. 【請求項16】 前記集積回路が、前記第1のコンピュ
    ータシミュレートされた出力よりも前記第2のコンピュ
    ータシミュレートされた出力に実質的に近い実際の出力
    信号を有して動作する、請求項15に記載の集積回路の
    シミュレーション方法。
  17. 【請求項17】 前記デバイスを含むライブラリから複
    数の電子デバイスのモデルを選択するステップを含み、
    前記各デバイスが、入力ノード、出力ノード、前記各出
    力ノードでの評価された負荷インピーダンスおよび、前
    記各入力ノードおよびそれぞれの前記出力ノードの起動
    の間の評価された持続期間を有し、さらに、 コンピュータシミュレートされた入力信号を前記各入力
    ノードに与えるステップと、 前記各出力ノード上の第1のシミュレートされた出力信
    号を測定するステップと、 選択された前記複数の電子デバイスの寸法調整をして前
    記評価された負荷インピーダンスおよび前記評価された
    持続期間での最適な性能を達成するステップと、 集積回路領域内の特定の位置で前記デバイスのモデルを
    構成して物理的負荷インピーダンスおよび物理的持続期
    間を得るステップと、 前記コンピュータシミュレートされた入力信号を前記各
    入力ノードに再び与えるステップと、 前記各出力ノード上の第2のシミュレートされた出力信
    号を測定するステップと、 選択された前記複数の電子デバイスを再寸法調整して前
    記物理的負荷インピーダンスおよび前記物理的持続期間
    での最適の性能を達成するステップと、 前記集積回路領域内で選択された前記複数の電子デバイ
    スを調整するステップと、 再寸法調整および調整ステップを繰り返して実際的なレ
    イアウト構成を得るステップと、 前記最終レイアウトの構成をシリコン基板上で処理して
    集積回路をつくるステップとを含む、集積回路のレイア
    ウト方法。
JP6007611A 1993-01-28 1994-01-27 集積回路のシミュレーション方法およびレイアウト方法 Withdrawn JPH0749903A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US012989 1979-02-21
US1298993A 1993-01-28 1993-01-28

Publications (1)

Publication Number Publication Date
JPH0749903A true JPH0749903A (ja) 1995-02-21

Family

ID=21757725

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6007611A Withdrawn JPH0749903A (ja) 1993-01-28 1994-01-27 集積回路のシミュレーション方法およびレイアウト方法

Country Status (3)

Country Link
US (1) US5422317A (ja)
EP (1) EP0610626A3 (ja)
JP (1) JPH0749903A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500435A (ja) * 1997-12-24 2002-01-08 マグマ・デザイン・オートメーション・インコーポレイテッド タイミング閉鎖方法

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5576969A (en) * 1993-03-09 1996-11-19 Nec Corporation IC comprising functional blocks for which a mask pattern is patterned according to connection and placement data
US5654898A (en) * 1993-05-10 1997-08-05 Cascade Design Automation Corporation Timing-driven integrated circuit layout through device sizing
WO1995017007A1 (en) * 1993-12-14 1995-06-22 Oki America, Inc. Efficient routing method and resulting structure for integrated circuits
JP3153403B2 (ja) * 1993-12-28 2001-04-09 富士通株式会社 半導体集積回路の遅延時間計算装置
JP3402412B2 (ja) * 1994-09-20 2003-05-06 株式会社リコー プロセスシミュレーション入力データ設定装置
US5633805A (en) * 1994-09-30 1997-05-27 Intel Corporation Logic synthesis having two-dimensional sizing progression for selecting gates from cell libraries
US7068270B1 (en) * 1994-12-02 2006-06-27 Texas Instruments Incorporated Design of integrated circuit package using parametric solids modeller
US6272668B1 (en) * 1994-12-14 2001-08-07 Hyundai Electronics America, Inc. Method for cell swapping to improve pre-layout to post-layout timing
US5619418A (en) * 1995-02-16 1997-04-08 Motorola, Inc. Logic gate size optimization process for an integrated circuit whereby circuit speed is improved while circuit area is optimized
US5633807A (en) * 1995-05-01 1997-05-27 Lucent Technologies Inc. System and method for generating mask layouts
JP3175812B2 (ja) * 1995-08-04 2001-06-11 株式会社日立製作所 半導体集積回路配線方法
CZ140498A3 (cs) * 1995-11-07 1998-10-14 The Procter & Gamble Company Nestíratelné kosmetické prostředky
US5693540A (en) * 1996-04-03 1997-12-02 Altera Corporation Method of fabricating integrated circuits
US5715408A (en) * 1996-04-19 1998-02-03 Cadence Design System, Inc. Interconnect termination for high speed circuits
US6026223A (en) * 1996-06-28 2000-02-15 Scepanovic; Ranko Advanced modular cell placement system with overlap remover with minimal noise
US5953518A (en) * 1997-03-14 1999-09-14 Lsi Logic Corporation Yield improvement techniques through layout optimization
US6014038A (en) 1997-03-21 2000-01-11 Lightspeed Semiconductor Corporation Function block architecture for gate array
JP3019020B2 (ja) * 1997-03-28 2000-03-13 日本電気株式会社 回路設計方法およびシステム
WO2000065487A1 (en) * 1999-04-27 2000-11-02 Magma Design Automation, Inc. Method for performing sizing-driven placement
WO2001025976A2 (en) * 1999-10-07 2001-04-12 Lightspeed Semiconductor Corporation Function block architecture for gate array
US6507938B1 (en) * 1999-11-12 2003-01-14 Intel Corporation Methods for improving the performance of VLSI layouts designed by a timing driven physical design tool
US6484298B1 (en) * 2000-05-18 2002-11-19 Xilinx, Inc. Method and apparatus for automatic timing-driven implementation of a circuit design
US6415426B1 (en) * 2000-06-02 2002-07-02 Incentia Design Systems, Inc. Dynamic weighting and/or target zone analysis in timing driven placement of cells of an integrated circuit design
US6560755B1 (en) 2000-08-24 2003-05-06 Cadence Design Systems, Inc. Apparatus and methods for modeling and simulating the effect of mismatch in design flows of integrated circuits
US6470476B2 (en) 2001-03-16 2002-10-22 International Business Machines Corporation Substitution of non-minimum groundrule cells for non-critical minimum groundrule cells to increase yield
JP2002366605A (ja) * 2001-06-11 2002-12-20 Hitachi Ltd 遅延時間表示方法
JP4597441B2 (ja) * 2001-09-14 2010-12-15 ルネサスエレクトロニクス株式会社 アナログセルレイアウト設計装置
WO2004073044A2 (en) * 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
IT1215273B (it) * 1985-05-09 1990-01-31 Ates Componenti Elettron Procedimento e dispositivo per identificare transistori parassiti in una struttura integrata.
US4827428A (en) * 1985-11-15 1989-05-02 American Telephone And Telegraph Company, At&T Bell Laboratories Transistor sizing system for integrated circuits
JPS62189739A (ja) * 1986-02-17 1987-08-19 Hitachi Ltd 半導体集積回路装置
GB2209857B (en) * 1987-09-16 1991-11-06 Texas Instruments Ltd Improvements relating to the manufacture of integrated circuits
US5095454A (en) * 1989-05-25 1992-03-10 Gateway Design Automation Corporation Method and apparatus for verifying timing during simulation of digital circuits
US5081602A (en) * 1989-11-07 1992-01-14 Amp Incorporated Computer simulator for electrical connectors
US5218551A (en) * 1990-04-30 1993-06-08 International Business Machines Corporation Timing driven placement

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002500435A (ja) * 1997-12-24 2002-01-08 マグマ・デザイン・オートメーション・インコーポレイテッド タイミング閉鎖方法

Also Published As

Publication number Publication date
EP0610626A2 (en) 1994-08-17
US5422317A (en) 1995-06-06
EP0610626A3 (en) 1995-02-08

Similar Documents

Publication Publication Date Title
JPH0749903A (ja) 集積回路のシミュレーション方法およびレイアウト方法
US6205571B1 (en) X-Y grid tree tuning method
US7937256B2 (en) Systems and methods of efficient library characterization for integrated circuit cell libraries
US6311313B1 (en) X-Y grid tree clock distribution network with tunable tree and grid networks
US5754826A (en) CAD and simulation system for targeting IC designs to multiple fabrication processes
US8631369B1 (en) Methods, systems, and apparatus for timing and signal integrity analysis of integrated circuits with semiconductor process variations
US6453446B1 (en) Timing closure methodology
US5581562A (en) Integrated circuit device implemented using a plurality of partially defective integrated circuit chips
US6253359B1 (en) Method for analyzing circuit delays caused by capacitive coupling in digital circuits
Hedlund Aesop: A tool for automated transistor sizing
US20070234266A1 (en) Method of optimizing IC logic performance by static timing based parasitic budgeting
US6976235B2 (en) Region-based voltage drop budgets for low-power design
WO2000065490A1 (en) Timing optimization in presence of interconnect delays
KR19980064044A (ko) 핫캐리어 열화 추정방법
KR20090077692A (ko) 반도체 장치의 제조 방법, 반도체 장치의 제조 프로그램 및반도체 장치의 제조 시스템
US7885801B2 (en) Modeling asynchronous behavior from primary inputs and latches
Yeh et al. Clock distribution architectures: A comparative study
US7814452B1 (en) Function symmetry-based optimization for physical synthesis of programmable integrated circuits
US7399648B2 (en) Methods and apparatus for determining location-based on-chip variation factor
US7100140B2 (en) Generation of graphical congestion data during placement driven synthesis optimization
US10963610B1 (en) Analyzing clock jitter using delay calculation engine
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
US20040003363A1 (en) Integrated circuit design and manufacture utilizing layers having a predetermined layout
KR19980079812A (ko) 회로 레이아웃에 포함된 회로 구조를 최적화하는 방법
JP2004054522A (ja) 半導体装置の同時スイッチングノイズ評価方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20010403