JPH0722160B2 - 集積回路上の絶縁性構成体及びその製造方法 - Google Patents

集積回路上の絶縁性構成体及びその製造方法

Info

Publication number
JPH0722160B2
JPH0722160B2 JP61144020A JP14402086A JPH0722160B2 JP H0722160 B2 JPH0722160 B2 JP H0722160B2 JP 61144020 A JP61144020 A JP 61144020A JP 14402086 A JP14402086 A JP 14402086A JP H0722160 B2 JPH0722160 B2 JP H0722160B2
Authority
JP
Japan
Prior art keywords
layer
wafer
glass
solution
spin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP61144020A
Other languages
English (en)
Other versions
JPS6254454A (ja
Inventor
アイ.レーラー ウィリアム
Original Assignee
フエアチヤイルド セミコンダクタ コ−ポレ−シヨン
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by フエアチヤイルド セミコンダクタ コ−ポレ−シヨン filed Critical フエアチヤイルド セミコンダクタ コ−ポレ−シヨン
Publication of JPS6254454A publication Critical patent/JPS6254454A/ja
Publication of JPH0722160B2 publication Critical patent/JPH0722160B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S428/00Stock material or miscellaneous articles
    • Y10S428/901Printed circuit

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemically Coating (AREA)
  • Chemical Vapour Deposition (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)
  • Local Oxidation Of Silicon (AREA)

Description

【発明の詳細な説明】 本発明は、より小さな寸法へデバイスをスケールダウン
即ち縮小させ且つダイ上の種々のデバイスを互いに結合
するメタル及びポリシリコン相互接続パターンの複雑性
を増加させるのに適合する半導体プロセスの分野に関す
るものである。更に詳細には、本発明は、ポリシリコン
と何等かのタイプのメタル相互接続層の間にゲルマニウ
ムガラス(即ち、germanosilicate glass:ジャーマノシ
リケートガラス)の平坦化させた層を形成する方法に関
するものである。
半導体装置の製造における主要な問題の1つは、ダイの
寸法を増加させること無しにデバイスを一層複雑にさせ
ることである。ダイ寸法を増加させると、歩留まりが減
少され且つコストが高騰する。然し乍ら、集積回路ダイ
上で複雑性を増加させることは、何千ものトランジスタ
を非常に複雑な回路パターンに相互接続させることを必
要とする。
その結果得られる相互接続パターンは非常に複雑であり
且つ多くの交差する導体を発生する。然し乍ら、集積回
路の製造において、導体は通常ホトリソグラフィプロセ
スによってポリシリコン、又はアルミニウム、チタン、
タングステンの如き金属で構成される。この場合、2次
元平面上に光パターンを投影させて、公知の或るエッチ
ングステップを行った後に、該導体に2次元パターンを
形成する。2つの導体が互いに交差する全ての個所にお
いて回路接続を形成することが所望される場合には問題
ない。然し乍ら、互いに交差する2つの導体が互いに電
気的に接触するべきではない場合には、2つの導体が互
いに電気的接触をすること無しに、クロスオーバー又は
クロスアンダーを形成することは問題である。デバイス
の複雑性が増加するに従いこれらの問題もその数が増加
する。この問題を緩和する方法の1つは、第1導体層の
上に導体の第2層を付加し、且つこれらの2つの層を絶
縁層で分離させることである。次いで、下側に存在する
層上の選択した導体への相互接続は、該中間絶縁層を介
してエッチング形成した貫通孔(vias)を介して行うこ
とが可能である。この導体層を付加するプロセスは必要
に応じて何回でも繰り返すことが可能である。
然し乍ら、ホトリソグラフィの固有の特性から、絶縁物
質からなるこれらの中間層は効果的である為には平坦で
且つ高密度でなければならない。該絶縁層は高密度、即
ちピンホールや亀裂が無い、であって、層間にショート
や、上方に付着された層が該絶縁層内の亀裂内に充填さ
れなかった為に該上方の層内に形成する開回路を防止す
るものでなければならない。該絶縁層は平坦で、良好な
ホトリソグラフィー特性を持つものでなければならな
い。主要な問題は、非平坦な表面上に非常に微細で且つ
密接した間隔の光パターンを投影してホトリソグラフィ
ーを使用して爾後の層を形成する場合に発生する。この
様な問題は、被写界深度困難性及びその他の公知の問題
を包含している。
更に、本装置が散逸された熱や環境条件によって発生さ
れる温度サイクルを経験する時に、前記絶縁層に亀裂が
発生しない様に、前記中間絶縁層は熱的に安定でなけれ
ばならない。この様な亀裂は、通常、絶縁性物質と下側
に存在する導電層との間の熱膨張係数の不整合から発生
する。このことは、下側に存在する相互接続乃至は相互
配線層がアルミニウムの如きメタルから製造される場合
に屡々発生する。
従来技術においては、アルミニウムの相互接続層上に二
酸化シリコンとゲルマニウム二元ガラス(PVX II)を付
着させる試みがなされた。これは幾つかの問題を提起す
る。第1に、メタルとその上側に存在するガラスとの間
の熱膨張係数の相違によって発生されるサーマルクラッ
キング即ち熱に起因する亀裂の問題がある。第2に、相
互接続線のメタル段差部とその周りの平坦な領域との交
差部において、或る条件下でCVDプロセスの固有の性質
によって発生されるマイクロクラック即ち微少亀裂又は
クレバス即ち裂け目が発生する。この様な微少亀裂は第
1図中に26及び24で示してあり、第1図はCVD付着ガラ
スとスピンオンガラスによって形成される表面間の相違
を示している。
熱亀裂は上側に存在するガラス膜内のストレス即ち応力
によって発生される。ウエハ上に付着された膜内の応力
は、熱膨張係数における不整合の程度及び該膜の厚さの
関数である。不整合の度合が高ければ、膜が厚い場合に
おける如く、より多くの応力を発生させる。アルミニウ
ムとシリケート絶縁体の間における如く、熱膨張係数の
不整合の程度は21と25の間の数乃至1である。種々の修
正要素を該溶液に添加させることが可能であるが、亀裂
の問題の為に今日迄有用な厚さは未だ達成されていない 微少亀裂はCVD膜におけるステップカバレッジ即ち段差
被覆の本質的な制限である。或る反応条件下において、
多くのCVDプロセスはとがった角部で膨出部を形成す
る。例えば、第1図は基板12上のエッチングしたメタル
段差部10を示している。二酸化シリコン膜14がCVDによ
って付着されている。想像線で示した線15はスピンオン
(spin−on)させたガラスの表面を表しており、且つ絶
縁性物質を付着させる2つの異なったプロセスから得ら
れる平坦化における差異を例示している。CVDプロセス
の場合、メタル段差部10のとがった点16及び18は、これ
らの領域において増加した化学的反応を発生させ、その
結果角部16及び18近傍で膜14に膨出部20及び22が形成さ
れている。これらの膨出部の直下には、微少亀裂24及び
26が形成されることがある。これらの亀裂は完全にメタ
ル即ち金属で被覆させることは極端に困難であり、且つ
開回路となることがある。この膨出部形成プロセスは多
くの条件下においてCVDプロセスに本質的なものであ
る。更に、これは爾後にホトリソグラフィが行われるべ
き非平坦な表面を形成する。非平坦表面は、爾後の層上
に密接な間隔の導体又はその他の特徴部の像をホトレジ
ストに画定させる為に光投影することを困難にするか又
は不可能とさせる。更に、マイクロクラック即ち微少亀
裂を持った酸化物層14の上表面によって提供される如き
非平坦表面は、高品質、即ち連続的であるべき導体内に
開回路を形成することのあるメタル膜に亀裂や割れ目や
裂け目のない、のメタルからなる一様な膜を付着させる
ことを極端に困難とする。
対照的に、スピンオンさせたガラスの上表面15の幾何学
的形状は比較的滑らかであることに注意すべきである。
この緩やかに湾曲する表面は、高品質の金属膜を付着さ
せることを簡単化させ、それから連続的であるべき配線
に開回路が形成される恐れ無しに相互接続配線を形成す
ることが可能である。同様に、別のスピンオンさせたガ
ラス層を付加させた場合には、その結果得られる表面は
平坦であるか又は略平坦であって、非常に微細で密接な
間隔の特徴部を形成するホトリソグラフィーを可能とし
ている。
CVDプロセスは又高温プロセスであって、通常、二酸化
シリコン膜を形成する為の典型的な反応温度は、該膜を
形成する為に使用されるガス及び化学反応に応じて400
乃至900℃の範囲である。この様な高温は、これらのプ
ロセスを温度によって影響され易い幾つかの構成体に対
して使用することを除外させている。更に、CVDプロセ
スで使用されるガスの多くは有毒であるか、可燃性であ
るか、腐食性であるか、又はこれら3つのものである。
最後に、膜のカバレッジの一様性及び平坦性は、通常、
CVDプロセスにおいては一貫性がない。
二酸化シリコン又はその他のガラスのコーティングをス
ピンオンさせる為のスピン方法を使用することによって
CVDプロセスを回避することが可能であることが知られ
ている。これらの方法においては、テトラエトキシシラ
ン(tetraethoxysilaneで、以後TEOSとも略称する)と
テトラエトキシゲルマン(tetraethoxygermaneで、以後
TEOGとも略称する)の修正したアルコール溶液、又はTE
OG無しで溶媒溶液中のみ内のTEOS、をシリコンウエハ上
にスピンオンさせ、適宜加熱することが可能であり、ガ
ラス状膜が形成される。このプロセスは利点を持ってお
り、CVD及びLPCVDプロセスの欠点の幾つかを除去する
が、主要な欠点を残存させている。この技術の主要な問
題は、約3,000Åの範囲を越えると、膜に亀裂が発生す
るということである。これらの亀裂は、歩留まりを減少
させ且つ装置の信頼性を無くすので、全く許容の出来な
いものである。
従って、どの様に厚くしたかということに拘らず、熱応
力の下で亀裂を発生することのない第1層メタル上に絶
縁性膜の使用可能な厚さを付着させる方法及びその様な
構成体に対する必要性が発生した。更に、この膜は平坦
であり且つ高度の電気的一体性を持つものでなければな
らず、その上に別のメタル相互配線層をホトリソグラフ
ィによって画定することが可能なものでなければならな
い。更に、新規な方法は廉価であると共に迅速に使用可
能であり、且つ生産上使用するのが困難過ぎる技術を包
含するものであってはならない。
本発明は、以上の点に鑑みなされたものであって、上述
した如き従来技術の欠点を解消し、半導体装置等のメタ
リゼーションパターン上にスピンオンさせたガラスから
構成される応力除去させた中間絶縁層を形成する方法及
びその結果得られる構成体を提供することを目的とす
る。
基本的に、本発明は、メタル相互接続(配線)トポロジ
ー即ち地形的形状の上に平坦な絶縁層を形成する為に、
十分な厚さ及び数の層の1層又は複数のガラスからなる
層、好適にはドープしていない二酸化シリコン又はドー
プしていない二元ゲルマニウムガラスからなる層をスピ
ンオンして形成させる技術である。該スピンオンさせた
ガラスは、次いで、十分な熱サイクルに露呈させて、ガ
ラス層と比較して下側に存在するメタルの熱膨張係数と
の間の相違に起因して、それに亀裂を発生させる。絶縁
体からなる層、好適には第1層上に使用したのと同じ絶
縁体を、次いで、前記第1層上にCVDによって付着させ
る。この第2層は第1層内の亀裂を充填し、且つそれは
単一の層又は複数個の層からなる第1層の平坦性を再現
する。
本発明は、亀裂を防止すると共にCVD付着方法のその他
の欠点の多くを除去する為にガラス層の下側にバッファ
層を使用する別のアプローチを提供している。
本発明方法の利点は、複合層は既に応力が除去されてい
て、その様に付着された層に爾後の高温処理ステップに
おいて又は動作における熱サイクルの期間中に亀裂が発
生する危険性がないということである。さらに、スピン
オンさせた層とCVD層とが同じか又は妥当性のある程度
に密接した熱膨張係数を持っている場合にこれらの2つ
の層の間に熱亀裂が発生する危険性がない。亀裂が発生
しないことを確保するのに必要な整合度合は、これらの
2つの層の相対的な厚さに依存する。好適実施例におい
て、これらの2つの層は同じ物質であり且つ同一の熱膨
張係数を持っている。
本発明の別の利点としては、絶縁体からなるCVD付着さ
せた層を使用して得ることが可能な滑らかさ、平坦さ、
及び膜厚の一様性を著しく越えて、第1層メタル上に非
常に平坦で滑らかな絶縁性表面を形成することが可能で
あるということである。平坦さと滑らかさはガラスから
なるスピンオンさせた層によって与えられており、一方
CVD層はバルクの厚さ及び良好なメタル間絶縁膜に必要
とされる膜一体性を与えている。第2絶縁膜はCVD絶縁
膜の何れとすることも可能であるということによって、
適用の特定のエッチングの必要性はCVDによってスピン
オンされ且つ付着される特定の膜を調整することによっ
て充足させることが可能である。
平坦性を得る為に複合絶縁体膜をリフローさせることが
必要ではないので、ガラス膜を燐でドープして溶解温度
を下側に存在するメタルを溶融することのない温度へ低
下させる必要は無い。この燐ドーパントを除外すること
は、又、腐食問題及び絶縁体に対してドープしたガラス
を使用することに関連するその他の汚染の問題をも除外
している。絶縁体として燐をドープしたガラスに関連し
ての主要な問題は、燐は半導体を導体に変換することの
可能な不純物であるということである。ドープしたガラ
スがドープしていないシリコンの上に存在しており且つ
後の高温ステップがその燐の幾分かをドープされないま
までいるべきシリコンの区域内に外拡散させると、装置
の電気的特性は変化されることがある。
最後に、本発明を実施するのに何等特別の物質や手順を
必要とすることは無く、非常に製造上有利であり且つ歩
留まりに悪影響を与えることもない。
以下、添付の図面を参考に、本発明の具体的実施の態様
に付いて、詳細に説明する。
第1図における段差部10の如く、シリケート(silicat
e)ガラス絶縁性物質をメタル段差部上に付着させる場
合、プロセスにおける後の高温ステップの期間中にメタ
ル段差部のエッジに亀裂が形成される。これらの亀裂の
一部を第1図に23及び25として示してある。これらの亀
裂の形成及びそれらの位置は、メタル段差部上に付着さ
れるシリケートガラス絶縁体の特定のタイプとは独立し
ており、且つシリケートガラス絶縁膜の付着方法と独立
的である。それは、単に、シリケートガラス絶縁体膜の
膜厚及び絶縁体とメタル段差部との間の熱膨張係数の不
整合の関数である。本発明は、亀裂を形成させ、次いで
該第1絶縁層の上部に付着される別の絶縁層で該亀裂を
充填するものである。
第2図は、本発明を実施することによって形成される絶
縁層の構成を示している。第2図は、メタルソースコン
タクト26、メタルドレインコンタクト28、メタルゲート
コンタクト30を具備するMOSトランジスタを図示してい
る。然し乍ら、理解すべきことであるが、本発明はMOS
トランジスタ又は何れかの特定の集積回路構成体に制限
されるべきものではない。本発明は、ホトリソグラフィ
によっって形成することが必要であり且つ高度の一体性
の絶縁層によって分離されることの必要なメタリゼーシ
ョン用の幾つかの層を形成せねばならない場合に有用性
がある。本発明が使用される典型的な状態は、コンタク
ト26、28、30が一部であるメタルコンタクト層の上方に
別の相互配線層を付加する様な場合である。これを行う
為には、絶縁性物質からなる平坦で、高度に一体性があ
り応力を除去した層を第1層相互配線メタルの上に形成
せねばならない。
本発明の絶縁層は、以下のものから構成されている。即
ち、トポグラフィ即ち地形的形状のエッジを和らげる為
に使用される第1のスピンオンされた絶縁層36。該トポ
グラフィを平坦化させる為の第2のスピンオンさせた絶
縁層38。応力除去を与える為の熱的に形成された亀裂3
9。亀裂39を充填し且つ電気的な一体性を与えその際に
回路内での短絡及び開回路を防止し好適には該スピンオ
ンさせた層と同じ化学組成の第3の絶縁体層41。次い
で、第3絶縁層41の上部の平坦表面43上に第2層相互接
続メタル配線42及び44を形成する。第1、第2、及び第
3絶縁層を貫通してエッチング形成した貫通孔(via)4
5は、第1と第2の相互接続層の間の電気的相互接続を
形成する為のメタルに対しての経路を与える。
理解すべきことであるが、第2スピンオン層38を付加す
ることはオプションであり且つそれにより単一のスピン
オン層の場合よりも一層の平坦性を与えるので望まし
い。理想的には、第3絶縁層の表面43は完全に平坦であ
るべきである。然し乍ら、第3絶縁層は、好適には、CV
D酸化物であって、その下側のスピンオン層のトポグラ
フィに類似したものとなる。
従って、第3絶縁層を付着させる表面47は非常に平坦で
あることが望ましい。第1スピンオン層36の上表面から
理解される如く、上表面49は第1相互配線層のトポグラ
フィに従って波打っている。この第1スピンオン層の上
にCVD酸化物又は第3絶縁層41を付着させる場合には、
第3絶縁層がCVDで付着されるとその上表面43は変動し
た形態となり表面49の変動形態に類似したものとなる。
これは以下に説明する理由により困難性を発生させる。
表面43上に付着させたメタル層を所望の相互接続パター
ンにエッチング形成させることによって第2層の相互接
続導体はホトリソグラフィ的に形成されねばならない。
非常に小さな幾何学的形状及び特徴部間の非常に密接し
た間隔でこのホトリソグラフィプロセスを適切に行う為
に、絶縁層36,38,41上に平坦な表面43が形成されねばな
らない。
本発明は、絶縁性物質からなる第1層を付着させる為に
CVD付着を使用することを排除し且つ第1及びオプショ
ンにより第2の絶縁層を付着させる為にスピンオンプロ
セスを置換させることによってこの平坦性の問題を解決
している。このことは、溶液をスピン即ち回転させるこ
とによってなされ、該溶液は最初ゲルポリマーに変換さ
れ次いで二元ガラスに変換される。このスピンオンプロ
セスは、付着された膜に著しい平坦性を与える。このこ
とは、第1図を参照することにより理解することが可能
であり、第1図はガラスからなるCVD酸化物層及びガラ
スからなるスピンオン層の両方の上表面の平坦性におけ
る差異を示している。スピンオンさせた層(想像線で示
してある)の上表面15は、CVD層14の上表面よりも一層
滑らかであり且つ平坦である。この平坦性は、遠心力が
過剰な溶液を引っぱり出し且つ溶液をウエハ表面上に均
一に分布させる傾向とさせることから派生される。表面
で形成され始めるリップル即ち小さな波は、表面張力、
遠心力、表面への付着力等の力の影響を受けて滑らかと
される傾向となり、それらが形成されることが阻止され
滑らかな表面が維持される。本発明のこのスピンオンプ
ロセスのステップ及びその他の本方法のステップに関し
て第3図を参照して説明する。
第3図(A)を参照すると、本プロセスの第1ステップ
は、付与及びスピンステップの準備として本明細書にお
いて後に定義する溶液を準備する為のものである。次い
で、第2図の構造又は被覆されるべきその他の何等かの
構造を持ったウエハを、従来ホトレジストをスピンオン
させる為に使用されている様なスピニング装置内に位置
させる。既知のプロセスを使用して第2図のトランジス
タ構成を形成する。スピニング装置はホトレジスト膜を
付着させる為に何年も使用されているので、それは当業
界において公知である。ホトレジスト用のスピニングプ
ロセスも公知であり、David Elliot著の「集積回路製造
技術(Integrated Cicuit Fabrication Technolog
y)」、1982年、マグローヒル出版社、米国国会図書館
番号TK7874.E49、ISBN 0−07−019238−3、第6章に詳
細に記載されている。
次いで、この溶液の或る量をウエハの中心に位置させ、
且つ第3図(B)に示した如く、ウエハ端部へ向かって
流出させる。次いで、第3図(C)に示した如く、所望
の膜厚を得るのに必要な速度でウエハをスピンさせる。
好適実施例においては、第2図におけるスピンオン層36
の所望の膜厚は2,000Åであり、第2スピンオン層38の
好適な膜厚も2,000Åである。前掲したElliot著の本の1
28頁に示される如く、スピンオンさせた膜の膜厚は溶液
の固体含有量の二乗に比例し且つスピンRPMの平方根に
逆比例する。この公式はホトレジストに対するものであ
って、本発明において使用されるガラス形成用の溶液は
多少異なっているが、上述した関係は大略あてはまる。
本明細書において後述する好適な溶液に対してのスピン
速度と結果的に得られる膜厚との間に実際の関係は第4
図の曲線によって与えられる。好適な溶液は、20%TEOS
溶液であって、それは2,400RPMよりも多少下のRPMで2,0
00Åの膜厚を与える固体含有量及び粘度を持っている。
勿論、選択されるRPMは、意図する適用に対しての所望
の膜厚及び下側のトポグラフィに依存する。然し乍ら、
最大の平坦性が所望される場合には、単一のコーティン
グよりも、多数の薄いコーティングを使用することが通
常良好である。スピン速度の非常に精密な制御を維持す
ることは可能であるので、膜厚も同様に精密に制御する
ことが可能である。第5図の曲線は、本発明の別の実施
例において使用される溶液に対してのスピンRPMと膜厚
との関係を示している。第5図の曲線は10%TEOS/TEOG
溶液を基礎としている。
本プロセスの次のステップは、該溶液をベークして溶媒
をドライブオフ即ち駆除させ、出発物質として使用した
溶液に依存して二酸化シリコン又は二元ガラス内に酸化
物を形成する。混合し且つウエハ上に付与すると直ちに
溶媒の幾らかは溶液から出始める。ウエハをスピンさせ
る前の或る時点において、溶液は酸の存在によって触媒
反応が起されてポリマーに変換される。このポリマーの
形成は、スピンステップの過程中に溶液がウエハから完
全に飛散してしまうことを防止する為に必要である。任
意の触媒又はこのポリマーを形成し且つこの酸化物の形
成に悪影響を与えることのないその他のプロセスは本発
明を実施する目的の為には十分である。
好適実施例においては、第3図(D)によって示されて
いるベークステップは2段階で行われる。然し乍ら、そ
れは単一の段階で行うことも可能であり、その場合溶媒
を除去するのに十分な時間及び温度でウエハ及びその表
面上に残存するゲルをベークして、酸化物を形成すると
共に結果として得られるガラスを高密度化させる。或る
実施例においては、蒸発又は真空の方法を使用して溶媒
を除去することが可能である場合もあるが、熱は簡単で
あり且つ酸化物の形成に必要であるから、熱を使用する
ことが望ましい。上述した全ての機能を達成する為に
は、少なくとも400℃で少なくとも30分のベークステッ
プで十分である。
2段階のベークにおいて、第1段階は5乃至10分間の約
135℃での低温ベークであり、溶媒を追い出す為のもの
である。このベークの目的は全ての溶媒を駆除する為で
ある。第2段階のベークは、好適には、450と500℃の間
で15乃至30分の間行われる。このベークの目的は、ポリ
マーゲルを、出発物質としてどの溶液を使用したかに依
存して、二酸化シリコンガラス又は二酸化ゲルマニウム
と二酸化シリコンとからなる二元ガラスへ変換させる。
より高いか又はより低い温度を使用することが可能であ
るが、これは行われるべき反応の時間を変化させる。よ
り高い温度はより高密度のガラスを発生させる。即ちガ
ラスの組成が改善されてより高度の構造的一体性及び不
純物が下側の構成体内に不所望に拡散することに対する
抵抗性を与える。より高い密度は又ガラスのエッチ速度
を変化させる。基本的に、ガラス層の下側の構成体を損
傷することが無く且つ妥当性のある時間で酸化物を形成
する何れの温度も使用可能である。スピンオンさせたガ
ラスに対しての高温高密度化ステップの期間中に不所望
な態様で寸法を変化させることのあるイオン注入領域や
その他の不純物ドープ領域が存在しない限り、より高い
温度が通常良好である。
然し乍ら、本構成体における全ての平坦性はスピンオン
プロセスのみによって派生されるので、リフローによっ
てガラス構成体を平坦化させる高温度は必要ではない。
これが、スピンオンさせたガラスにおいては燐ドーパン
トを使用しない理由である。燐ドーパントは、溶融温度
を低下させる為に、従来技術のCVD付着させたPガラス
において使用されている。ホトリソグラフィを容易とし
且つメタリゼーション特性を良好なものとするために表
面を滑らかにする為にこれらのガラスが溶融されリフロ
ーされることを可能とする為にこのことが必要であっ
た。しかし、燐ドーパントの存在は、腐食であるとかド
ープされるべきでない区域の不本意なドーピング等のそ
の他の処理上の問題を提起する。
単一又は複数のスピンオンさせたガラス層を形成した後
に、本構成体に予備亀裂を発生させ且つこの予備亀裂を
修復することによって最終的な絶縁性構成体に亀裂が発
生することを防止する為にストレスレリーフ即ち応力除
去を行わねばならない。このプロセスにおける第1のス
テップはスピンオンさせたガラス層に応力を発生させた
これらガラス層に亀裂を発生させることである。このこ
とは、機械的に行うことも可能であるが、異なった物質
における異なった熱膨張量によって発生される加熱温度
サイクル的応力が好適である。熱応力は後の高温処理ス
テップにおいて発生する可能性のある全ての亀裂状態を
再現する蓋然性が最も高いが、機械的な応力は後の高温
処理ステップから得られるのと同じ亀裂を発生すること
がない可能性がある。好適には、該応力を発生させる為
に使用される熱サイクルのタイプは、装置が後の高温処
理ステップ又はフィールドにおいての使用中に発生する
ことのある温度サイルクにおいて直面することのある最
大熱応力に見合ったものであるか又はそれを越えるもの
であるべきである。
次のステップは、ガラスからなるスピンオンさせた層の
上に絶縁性物質からなる第3層を付着させることであ
る。好適実施例においては、この第3絶縁層はCVD二酸
化シリコンからなる8,000Åであるが、付着方法、付着
される物質、及び膜厚は適用に応じての設計変更事項で
ある。この第3絶縁層は、任意の従来の方法で付着させ
ることが可能であるが、CVDが好適である。何故なら
ば、それは公知のプロセスであり、且つ所望の適用に対
して結果的に得られる膜を調節する為に反応条件を変化
させることが可能であるからである。付着機構としてプ
ラズマ付着も可能性があるが、第2図における亀裂39の
如き熱亀裂の中に入り込み且つそれを封止する上でCVD
膜の方が良好であると考えられる。付着された膜がスピ
ンオンさせたガラス層における全ての亀裂を完全に封止
することが非常に重要である。更に重要なことは、CVD
又はプラズマ付着プロセスにおいて使用される反応温度
が下側に存在するメタル層の溶解温度を越えることがな
いということである。約540℃で溶解するアルミニウム
の場合、400℃近辺の温度で酸素中においてのシランの
分解は1つの可能性である。これは、より一層の適合性
のあるコーティング、より高い処理能力、付着させた膜
の組成及び構造の精密な制御、及び低処理コスト等の低
圧力CVDプロセスの利点を享受する為に、大気圧力又は
より低い圧力で行うことが可能である。
その他の可能な付着プロセスは、約200乃至350℃でグロ
ー放電においてシランと一酸化二窒素を反応させること
による二酸化シリコンのプラズマ付着である。又、200
乃至350℃でグロー放電においてシランとアンモニア又
は窒素とを反応させることによる窒化シリコンのプラズ
マ付着を使用することも可能である。然し乍ら、スピン
オンさせた層が二酸化シリコンであるか又は二元ゲルマ
ニウムガラスである場合には、スピンオンさせた層上に
同じ化学組成、密度、及びエッチング特性を持った第3
絶縁層を付着させることが好適である。そうでない様に
することは、複合絶縁層に貫通孔をエッチング形成する
プロセスを複雑化させる。
例えば、個々の層に対して2つの異なった物質を使用す
る場合、異なったエッチャント物質を必要とする場合が
ある。更に、共通のエッチャントが見つかっても、これ
らの2つの異なった物質は異なった速度でエッチされる
場合がある。これは、1つ又は複数個の底部層における
遅いエッチングの間に上部層において横方向エッチング
が起こり、貫通孔が設計公差からずれた形状とさせるこ
とがある。この問題は、最もエッチングの遅い層を上部
に位置させることによって除去することが可能であり、
この場合、エッチングの早い底部層を介してエッチング
を行うのにかかる時間の期間中に、エッチングの遅い上
部層にはあまり横方向エッチングは発生しない。或る適
用においては、異なった物質をエッチングすることの複
雑化は問題ではない場合がある。絶縁膜のCVD付着及び
プラズマ付着に関しての詳細は、S.M.Sze編の「VLSI技
術(VLSI Technology)」、1983年、マグローヒル出版
社、米国国会図書館番号TK7874.V566、ISBN 0−07−062
686−3、及びDavid Elliott著の「集積回路製造技術
(Integrated Circuit Fabrication Technology)」、1
982年、マグローヒル出版社、米国国会図書館番号TK787
4.E49、ISBN 0−07−019238−3に見出される。
次のステップは、第3図のステップ(A)乃至(F)で
形成された平坦化された複合絶縁層に貫通孔(vias)を
エッチング形成することである。これは、選択物質に所
望の形状の貫通孔をエッチング形成することの可能な任
意の公知のプロセスによって行うことが可能である。こ
のステップは第3図(G)に示されている。この様なプ
ロセスは公知であり、且つ上掲した文献等に記載されて
いる。第2図における表面43の平坦化の利点は、これら
の貫通孔の形状及び間隔を画定する為のホトリソグラフ
ィを、非平坦なホトレジスト被覆した表面上に合焦させ
た像を投影させる場合に通常遭遇する被写界深度の問題
を蒙ることなしに、精密に行うことが可能であるという
ことである。この様な問題は公知であり、像がマスクか
ら焦点距離にある或る区域においては合焦されており且
つレンズに近すぎるか又は遠すぎる表面区域においては
合焦されていないことから発生する。典型的に、ステッ
プアンドリピート投影方式の分解能は焦点深度を犠牲に
してのみ増加させることが可能である。0.17の開口数
(NA)及び4,000Åの露光波長を持ったステッパは約1.2
ミクロンの分解能限界及び約±7ミクロンの焦点深度を
持っている。この焦点深度を越えて延在するトポグラフ
ィ特徴部は、それに投影された像を非合焦状態とさせ
る。従って、より小さな特徴寸法へスケールダウン即ち
縮小させることの可能な技術とさせる為には平坦性は非
常に重要である。この問題は投影させることの可能な像
の鮮明度を損ない、又得ることの可能な幾何学的寸法の
制御の精度及び信頼性を持って得ることの可能な特徴部
間の間隔の制御の精度を制限する。ホトレジストをその
上に付着させる第2図の表面43の如き平坦な表面を形成
することは、そのホトレジストに平坦な表面を持たせる
こととなり、該平坦な表面上に所望の貫通孔の非常に鮮
明な像を合焦させることが可能である。設計基準を厳し
くさせることが可能であるから、これらの貫通孔像の間
隔は、非平坦な場合よりも密接させたものとさせること
が可能である。
第3図(H)で示した如く、最後のステップは、それか
ら第2層相互接続(相互配線)を形成する為の導電性物
質からなる層を付着させるものである。この第2層相互
接続パターンは好適にはアルミニウムの如きメタルから
形成される。メタル層を付着させてそれを第2図におけ
る導体42及び44で示される導電性パターンにエッチング
形成させる態様は公知であり、上掲した本に記載される
如く多くの別法がある。この第2相互接続層を形成する
為に選択される特定の態様は本発明にとって臨界的なも
のではない。
第3図(A)を再度参照して、本発明に使用することの
可能な特定の溶液について説明する。第1の実施例にお
いて、TEOSと,TEOGと,低級アルコール又はケトンの如
き溶媒と、硝酸又は塩酸の如き適合性のある無機又は有
機の酸との溶液を最終的にスピンオンさせたガラス層と
なる為の出発溶液として準備する。該溶液の組成は以下
の如くである。
*2.53乃至2.76グラムのテトラエトキシゲルマン(TEO
G) *2.47乃至2.24グラムのテトラエトキシシラン(TEOS)
即ちSi(OC2H5 *40グラムの低級アルコール又はケトンの如き溶媒 *0.3グラムのHNO3の如き適合性のある無機又は有機酸 *5グラムの水 2.53グラムのTEOGと2.47グラムのTEOSを使用する場合、
結果として得られる二元ガラスは45モル%の二酸化ゲル
マニウムと65モル%の二酸化シリコンである。2.76グラ
ムのTEOGと2.24グラムのTEOSとを使用する場合、結果的
に得られる二元ガラスは50−50モル%の二酸化ゲルマニ
ウム−二酸化シリコンである。勿論、その他の溶液は異
なった二元ガラス組成を発生する。この特定の実施例に
おける好適な組成は2.76グラムのTEOGと2.23グラムのTE
OSでその他の全ての成分は同じものである。
使用される溶媒は本発明にとって臨界的なものではな
く、且つTEOSとTEOGとを溶解する任意の溶媒で良い。使
用可能なアルコールのタイプの例としては、エチル、メ
チル、ブチル、プロピルがある。使用可能なケトンの例
としては、MEKとアセトンとがある。重要なファクタ
は、結果的に得られる二元ガラスの目標とするモル%組
成とその膜厚である。結果的に得られる二元ガラスの組
成のモル%は、最初の溶液内に存在していたTEOSとTEOG
の相対的な量に依存する。溶液内に入らないこれらの成
分は何れも最終的な組成の中に存在することはないの
で、選択される溶媒は、TEOS及びTEOGの溶解度は、各成
分の選択された量が完全に溶解するものであるべきであ
る。溶解度がそうでない場合、結果的に得られる二元ガ
ラスはその為に意図したモル%組成を有するものではな
くなる。
一般的に、低級アルコール及び低級ケトン及びそれら2
つの何等かの組合せの何れかは上述した条件を満足す
る。機能的な均等物として、その他の極性溶媒もこれら
の条件を満足するものである可能性がある。好適な溶媒
はエチルアルコールであるが、その他の溶媒はより廉価
である。
使用される特定の酸は、それが溶液のその他の成分と適
合性がある限り、本発明にとって臨界的ではない。一般
的に、塩酸を除外した任意の無機酸又は任意の有機酸を
使用することが可能である。十分な量の酸を添加して、
溶液のpHを1.5乃至2.0の間に設定せねばならない。
このスピンオンプロセスにおいて形成すべき層の厚さ
は、意図する適用に応じて設計者が任意に選択できる事
項である。第4図及び第5図に従って、該層を付着する
スピン速度を制御することによって、任意の与えられた
溶液固体含有量及び粘度に対して層厚を制御することが
可能である。
本発明において使用する好適溶液は、以下に示す如く、
テトラエトキシシランの20%溶液である。
20グラムのテトラエトキシシラン 60グラムのイソプロパノール 20グラムの水 0.6グラムの硝酸 TEOS/TEOG溶液に関して上述した如く、溶媒系の正確な
組成は本発明にとって臨界的ではない。酸又はその他の
触媒を包含する任意の溶媒系で、20%溶液を与えるもの
は、全ての溶液を完全にスピンオフさせる間にゲルを形
成させ、又ベーク後に所望の酸化物を発生するものは適
切である。使用可能な特定の種類の溶媒及び酸に関して
の上述したコメントはここでも同様にあてはまる。
以上、本発明の具体的実施の態様に付いて詳細に説明し
たが、本発明はこれら具体例にのみ限定されるべきもの
では無く、本発明の技術的範囲を逸脱すること無しに種
々の変形が可能であることは勿論である。
【図面の簡単な説明】
第1図はCVD酸化物層及びスピンオンさせたガラス層で
被覆された集積回路上にメタル段差部を有する構成を示
した概略断面図、第2図は本発明を実施することによっ
て形成される絶縁層の構成を示した概略図、第3図は本
発明のプロセスの流れ図、第4図は20%TEOS溶液に対し
てのスピン速度と膜厚特性との関係を示したグラフ図、
第5図は10%TEOS/TEOG溶液に対してのスピン速度と膜
厚特性との関係を示したグラフ図、である。 (符号の説明) 10:段差部 23,25:亀裂 26,28,30:コンタクト 36:第1スピンオン絶縁層 38:第2スピンオン絶縁層 39:熱形成された亀裂 41:第3絶縁体層 42,44:メタルライン 43:平坦表面 45:貫通孔(via)
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 // C23C 16/40 18/12 28/04

Claims (32)

    【特許請求の範囲】
  1. 【請求項1】表面上にメタリゼーションを有する集積回
    路上に絶縁性構成体を製造する方法において、 溶媒と活性成分の所定溶液を混合し、 該溶液の所定量を前記集積回路を有するウエハ上に付着
    させ、 前記ウエハをスピンさせ、 前記ウエハ及び前記溶液をガラスが形成される迄ベーク
    し、 前記ガラス層に亀裂が発生する迄前記ガラス層を熱処理
    し、 前記亀裂を充填する為に絶縁体の第2層を付着させる、 上記各ステップを有することを特徴とする方法。
  2. 【請求項2】特許請求の範囲第1項において、前記付着
    ステップにおいて、メタル内に形成されている前記ウエ
    ハ上の第1層相互接続パターン上に所定量の前記溶液を
    付着させることを特徴とする方法。
  3. 【請求項3】特許請求の範囲第1項において、前記混合
    ステップにおいて、テトラエトキシシランと、テトラエ
    トキシシランを溶解可能な溶媒と、水と、結果的に得ら
    れる溶液が重量で約20%のテトラエトキシシランである
    様な酸と、の十分な量を混合することを特徴とする方
    法。
  4. 【請求項4】特許請求の範囲第1項において、前記溶液
    を混合するステップにおいて、十分な量のテトラエトキ
    シゲルマンとテトラエトキシシランをこれら2つの成分
    を溶解可能な溶媒と適合性のある酸と最終的な溶液が重
    量において10乃至20%のテトラエトキシゲルマンとテト
    ラエトキシシランとなるのに十分な水と共に混合するこ
    とを特徴とする方法。
  5. 【請求項5】特許請求の範囲第1項において、前記スピ
    ンするステップにおいて、与えられた溶液固体含有量及
    び粘度に対して所定のベーク後膜厚が得られるべく選択
    された回転速度で前記ウエハをスピンさせることを特徴
    とする方法。
  6. 【請求項6】特許請求の範囲第1項において、前記酸化
    物を形成する為のベークステップにおいて、全ての溶媒
    及び水を駆除させ且つガラスを具有する酸化物を形成す
    るのに十分な時間の間最小で400℃で前記ウエハ及び溶
    液をベークすることを特徴とする方法。
  7. 【請求項7】特許請求の範囲第1項において、前記酸化
    物を形成する為のベークステップにおいて、前記水及び
    溶媒を妥当な時間で駆除させるのに十分な温度でウエハ
    及び溶液をベークし、次いでその結果得られる構成体を
    該ガラスの酸化物を形成するのに十分な温度でベークす
    ることを特徴とする方法。
  8. 【請求項8】特許請求の範囲第7項において、前記2番
    目のベークステップは所定の密度でガラスを形成するの
    に十分な温度でなされることを特徴とする方法。
  9. 【請求項9】特許請求の範囲第7項において、前記第1
    ベークステップは約135℃で5乃至10分間行われること
    を特徴とする方法。
  10. 【請求項10】特許請求の範囲第7項において、前記第
    2ベークステップは約450乃至500℃で15乃至30分間行わ
    れることを特徴とする方法。
  11. 【請求項11】特許請求の範囲第7項において、前記第
    2ベークステップは下側に存在する構成体に損傷を与え
    ること無しに可及的に高い温度でおこなわれることを特
    徴とする方法。
  12. 【請求項12】特許請求の範囲第1項において、前記ス
    ピンステップは前記ウエハを2,000と4,000RPMの間でス
    ピンさせることを特徴とする方法。
  13. 【請求項13】特許請求の範囲第1項において、前記溶
    液混合ステップにおいて、約20グラムのテトラエトキシ
    シランを、約60グラムのイソプロピルアルコールと約20
    グラムの水と約0.6グラムの硝酸と共に、混合すること
    を特徴とする方法。
  14. 【請求項14】特許請求の範囲第1項において、前記混
    合ステップにおいて、ベーク後のスピンオンさせたガラ
    ス層が約40乃至50モル%の二酸化シリコンと55乃至40モ
    ル%の二酸化ゲルマニウムと1乃至5モル%のP2O5とか
    ら構成される様に、十分な量のテトラエトキシゲルマン
    と、テトラエトキシシランと、溶媒と、水と、燐酸とを
    混合することを特徴とする方法。
  15. 【請求項15】特許請求の範囲第1項において、前記絶
    縁体の第2層を付着させるステップにおいて、CVDによ
    って絶縁体を付着させることを特徴とする方法。
  16. 【請求項16】特許請求の範囲第1項において、前記絶
    縁体の第2層を付着させるステップにおいて、二酸化シ
    リコン層を付着させることを特徴とする方法。
  17. 【請求項17】特許請求の範囲第16項において、前記付
    着ステップがCVDによって付着させることを特徴とする
    方法。
  18. 【請求項18】特許請求の範囲第16項において、前記付
    着ステップにおいて、CVDステップにおいて400℃未満で
    シランと酸素とを分解させることを特徴とする方法。
  19. 【請求項19】特許請求の範囲第18項において、該CVD
    は大気圧力で行われることを特徴とする方法。
  20. 【請求項20】特許請求の範囲第1項において、前記付
    着ステップが低温度プラズマ付着によって該絶縁体を付
    着させることを特徴とする方法。
  21. 【請求項21】特許請求の範囲第1項において、前記絶
    縁体の第2層を付着させるステップにおいて、スピンオ
    ンさせた絶縁体の層の化学的組成と整合する絶縁体を付
    着させることを特徴とする方法。
  22. 【請求項22】表面上にメタリゼーションを有する集積
    回路上に絶縁性構成体を製造する方法において、 20%のTEOS溶液が得られると共に1.5と2.0との間pHが得
    られる様にテトラエトキシシランと溶媒と水と適合性の
    ある酸との溶液を混合し、 集積回路を具備するウエハ上にそのウエハ表面の全体を
    被覆する様に十分な量の前記溶液を付着させ、 ゲルを形成する為に十分な量の前記溶媒を除去し、 集積回路のトポグラフィ及び膜の意図した使用に従って
    選択されたベーク後の膜厚を得る為に選択されたRPMで
    前記ウエハをスピンさせ、 絶縁性の膜が形成される迄前記ウエハと膜とをベーク
    し、亀裂が発生するまで前記ウエハ及び膜を熱処理し、 前記スピンオンさせた膜の前記亀裂を充填し且つ前記ス
    ピンオンさせた膜の化学的組成及びエッチ速度と整合す
    る絶縁体からなる第2層を付着させる、 上記各ステップを有することを特徴とする方法。
  23. 【請求項23】特許請求の範囲第22項において、前記混
    合ステップにおいて、前記スピンオンさせた層の最終的
    な組成が40乃至50モル%の二酸化シリコンと55乃至40モ
    ル%の二酸化ゲルマニウムと1乃至5モル%のP2O5であ
    る様に、十分な量のテトラエトキシゲルマンと燐酸とを
    混合することを特徴とする方法。
  24. 【請求項24】特許請求の範囲第22項において、前記ベ
    ークステップにおいて、前記溶媒を全て駆除する為に十
    分な時間であって好適には5乃至10分の間約135℃の温
    度で前記ウエハ及び溶液をベークし、次いで前記ガラス
    の酸化物を形成する為に十分な時間であって好適には15
    乃至30分の間450乃至500℃の温度で前記ウエハをベーク
    することを特徴とする方法。
  25. 【請求項25】表面にメタリゼーションを有する集積回
    路上の絶縁性構成体において、前記集積回路上に付着さ
    せた亀裂の入ったガラスからなる第1層と、前記第1層
    上及び前記亀裂内に付着させた絶縁性物質からなる第2
    層とを有することを特徴とする絶縁性構成体。
  26. 【請求項26】特許請求の範囲第25項において、前記第
    1層はそれに亀裂が発生していない個所においては滑ら
    かであることを特徴とする絶縁性構成体。
  27. 【請求項27】特許請求の範囲第25項において、前記第
    1層はスピンオンさせた二酸化シリコンであることを特
    徴とする絶縁性構成体。
  28. 【請求項28】特許請求の範囲第25項において、前記第
    1層は、スピンオンさせた二酸化シリコン、二酸化ゲル
    マニウム、又はP2O5であることを特徴とする絶縁性構成
    体。
  29. 【請求項29】特許請求の範囲第28項において、前記ガ
    ラスは40乃至50モル%の二酸化シリコンと、55乃至40モ
    ル%の二酸化ゲルマニウムと、1乃至5モル%のP2O5
    あることを特徴とする絶縁性構成体。
  30. 【請求項30】特許請求の範囲第25項において、前記第
    2層はCVD付着酸化物であることを特徴とする絶縁性構
    成体。
  31. 【請求項31】特許請求の範囲第25項において、前記絶
    縁性物質からなる第2層は前記第1層の化学組成と整合
    することを特徴とする絶縁性構成体。
  32. 【請求項32】特許請求の範囲第25項において、前記絶
    縁性物質からなる第2層は、前記第1及び第2層の両方
    をエッチする何れのエッチャントにおいても前記第1層
    のエッチ速度と整合することを特徴とする絶縁性構成
    体。
JP61144020A 1985-06-21 1986-06-21 集積回路上の絶縁性構成体及びその製造方法 Expired - Fee Related JPH0722160B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US06/747,471 US4654269A (en) 1985-06-21 1985-06-21 Stress relieved intermediate insulating layer for multilayer metalization
US747471 1996-11-12

Publications (2)

Publication Number Publication Date
JPS6254454A JPS6254454A (ja) 1987-03-10
JPH0722160B2 true JPH0722160B2 (ja) 1995-03-08

Family

ID=25005193

Family Applications (1)

Application Number Title Priority Date Filing Date
JP61144020A Expired - Fee Related JPH0722160B2 (ja) 1985-06-21 1986-06-21 集積回路上の絶縁性構成体及びその製造方法

Country Status (5)

Country Link
US (1) US4654269A (ja)
EP (1) EP0206937B1 (ja)
JP (1) JPH0722160B2 (ja)
CA (1) CA1246756A (ja)
DE (1) DE3681081D1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3686490T2 (de) 1985-01-22 1993-03-18 Fairchild Semiconductor Halbleiterstruktur.
US4972251A (en) * 1985-08-14 1990-11-20 Fairchild Camera And Instrument Corp. Multilayer glass passivation structure and method for forming the same
WO1988007762A1 (en) * 1987-03-30 1988-10-06 Eastman Kodak Company Two-level metal interconnection
DE3802403A1 (de) * 1988-01-28 1989-08-10 Licentia Gmbh Halbleiteranordnung mit polyimidpassivierung
SE461428B (sv) * 1988-06-16 1990-02-12 Ericsson Telefon Ab L M Foerfarande foer att paa ett underlag av halvledarmaterial framstaella en bipolaer transistor eller en bipolaer transistor och en faelteffekttransistor eller en bipolaer transistor och en faelteffekttransistor med en komplementaer faelteffekttransistor och anordningar framstaellda enligt foerfarandena
US4980555A (en) * 1988-10-31 1990-12-25 Honeywell Inc. Electrical interconnector for infrared detector arrays
JP2640174B2 (ja) * 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
US5186745A (en) * 1991-02-04 1993-02-16 Motorola, Inc. Teos based spin-on-glass and processes for making and using the same
US6109775A (en) * 1991-07-19 2000-08-29 Lsi Logic Corporation Method for adjusting the density of lines and contact openings across a substrate region for improving the chemical-mechanical polishing of a thin-film later disposed thereon
US5910680A (en) * 1991-12-16 1999-06-08 Motorola, Inc. Germanium silicate spin on glass semiconductor device and methods of spin on glass synthesis and use
US5370923A (en) * 1993-02-26 1994-12-06 Advanced Micro Devices, Inc. Photolithography test structure
US5393709A (en) * 1993-06-30 1995-02-28 United Microelectronics Corporation Method of making stress released VLSI structure by the formation of porous intermetal layer
US5955786A (en) * 1995-06-07 1999-09-21 Advanced Micro Devices, Inc. Semiconductor device using uniform nonconformal deposition for forming low dielectric constant insulation between certain conductive lines
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5723380A (en) * 1996-03-25 1998-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of approach to improve metal lithography and via-plug integration
US6504233B1 (en) * 1999-06-28 2003-01-07 General Electric Company Semiconductor processing component
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
DE10145724A1 (de) * 2001-09-17 2003-04-10 Infineon Technologies Ag Verfahren zum Herstellen einer Halbleiterstruktur unter Verwendung einer Schutzschicht und Halbleiterstruktur
CN1742363B (zh) * 2003-01-25 2010-10-13 霍尼韦尔国际公司 受损电介质材料和电介质膜的修复和恢复
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US8475666B2 (en) * 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
KR100652395B1 (ko) * 2005-01-12 2006-12-01 삼성전자주식회사 다이-휨이 억제된 반도체 소자 및 그 제조방법
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US20090026924A1 (en) * 2007-07-23 2009-01-29 Leung Roger Y Methods of making low-refractive index and/or low-k organosilicate coatings

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3475210A (en) * 1966-05-06 1969-10-28 Fairchild Camera Instr Co Laminated passivating structure
US4001870A (en) * 1972-08-18 1977-01-04 Hitachi, Ltd. Isolating protective film for semiconductor devices and method for making the same
JPS51144183A (en) * 1975-06-06 1976-12-10 Hitachi Ltd Semiconductor element containing surface protection film
US4045594A (en) * 1975-12-31 1977-08-30 Ibm Corporation Planar insulation of conductive patterns by chemical vapor deposition and sputtering
US4336118A (en) * 1980-03-21 1982-06-22 Battelle Memorial Institute Methods for making deposited films with improved microstructures
US4417914A (en) * 1981-03-16 1983-11-29 Fairchild Camera And Instrument Corporation Method for forming a low temperature binary glass
EP0060784B1 (en) * 1981-03-16 1985-07-17 FAIRCHILD CAMERA & INSTRUMENT CORPORATION Low melting temperature glass for use over aluminium interconnects of an integrated circuit structure
US4492717A (en) * 1981-07-27 1985-01-08 International Business Machines Corporation Method for forming a planarized integrated circuit
WO1983003923A1 (en) * 1982-04-23 1983-11-10 Western Electric Company, Inc. Semiconductor integrated circuit structures having insulated conductors
US4508757A (en) * 1982-12-20 1985-04-02 International Business Machines Corporation Method of manufacturing a minimum bird's beak recessed oxide isolation structure

Also Published As

Publication number Publication date
CA1246756A (en) 1988-12-13
EP0206937B1 (en) 1991-08-28
JPS6254454A (ja) 1987-03-10
EP0206937A2 (en) 1986-12-30
US4654269A (en) 1987-03-31
EP0206937A3 (en) 1987-09-02
DE3681081D1 (de) 1991-10-02

Similar Documents

Publication Publication Date Title
JPH0722160B2 (ja) 集積回路上の絶縁性構成体及びその製造方法
EP0154419B1 (en) Process for producing an interconnection structure of a semiconductor device
KR100494955B1 (ko) 유동성희생산화물을이용하는이중다마신법을사용한다층동일평면금속/절연체막형성방법
US4305974A (en) Method of manufacturing a semiconductor device
JPS62185325A (ja) リフト・オフ法
JPH05243223A (ja) 集積回路装置の製造方法
JPH07120650B2 (ja) スピンオンしたゲルマニウムガラス
US4328263A (en) Method of manufacturing semiconductor devices using lift-off technique
JPH08124926A (ja) 配線の形成方法
JP2849286B2 (ja) 半導体装置の製造方法
JPH08306681A (ja) 平坦化塗布絶縁膜の形成方法
JPS586306B2 (ja) ハンドウタイソウチノ セイゾウホウホウ
JPH0427703B2 (ja)
KR940007055B1 (ko) 반도체소자의 평탄화방법
KR0137813B1 (ko) 모스 트랜지스터(mosfet)의 금속 배선 형성 방법
JPS5969950A (ja) 多層配線形成方法
KR0147648B1 (ko) 반도체 장치의 층간절연층 평탄화방법
JPS61260638A (ja) 半導体装置の製造方法
JPS6322067B2 (ja)
JPS59175124A (ja) 半導体装置の製造方法
JPH04299831A (ja) 半導体装置の製造方法
KR950034424A (ko) 글로벌평탄화방법
JPS61272951A (ja) 多層配線形成法
JPH05226481A (ja) 半導体装置の製造方法
JPH1012728A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees