JPH03161930A - 耐熱金属のエツチング・プロセス - Google Patents

耐熱金属のエツチング・プロセス

Info

Publication number
JPH03161930A
JPH03161930A JP2269717A JP26971790A JPH03161930A JP H03161930 A JPH03161930 A JP H03161930A JP 2269717 A JP2269717 A JP 2269717A JP 26971790 A JP26971790 A JP 26971790A JP H03161930 A JPH03161930 A JP H03161930A
Authority
JP
Japan
Prior art keywords
etch
etching
tungsten
layer
etching process
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2269717A
Other languages
English (en)
Inventor
Xiao-Chun Mu
シヤオーチヤン・ム
Jagir Multani
ジヤガー・マルタニ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of JPH03161930A publication Critical patent/JPH03161930A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • ing And Chemical Polishing (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔発明の利用分野〕 本発明は、半導体ディバイスの分野に関し、更に詳しく
は、タングステン(W)のような耐熱金属のブランケッ
ト層をエッチングするように設劇゛されたエッチバック
・プロセスに関する。
〔発明の背景〕
半導体ディバイスを製造する際、ディバイスの所定の領
域に電気的にコンタクトをとる必要かある。通常、コン
タクトされるべき領域上の絶縁体に開口1たはピア(v
ia)を最初に形威し、次に開口1たはビアを含んでい
る基板の表面に専竃材料を被着することにより、シリコ
ン基板の表面における絶縁体の下のディバイス領域にコ
ンタクトをとる必要がある。この導電材料は、その後、
集積された表面のそれぞれ部品に接続するため(すなわ
ち、6相互接続部分′”筐たは6相互接続ライン゜′を
形或するため)パターン化される。これまで、4’!材
料としては、スパツタされたアルミニウム(AZ.)が
使用されてきた。代表的には、アルミニウムのブランケ
ットIvがシリコン基板の表面に被着され、絶縁体の開
口により露出されたディバイス領域の他、上層の絶縁体
をカバーする。次のマスキング工程において、フォトレ
ジストは、コンタクトされるべき顕域と相互接続部分と
して使用されるべき顕域における絶縁層を貫通する開口
lたはビアをカバーする。エッチ工程は、フォトレジス
トによりカバーされていない領域からアルミニウムを除
去し、開口またはビアを充填しかつ相互接続ラインを形
威しているアルミニウムを残して、目標の領域にコンタ
クトをとる。
大きさがサブミクロンのレベルまで小さくなシ、ディバ
イスが基板の表面に更に高い密度で実装されると、開口
1たはビア対コンタクトされるべきディバイス領域のア
スベクト比(高さ対幅の比)は筐す筐す大きくなる。単
独のアルミニウム被着は、高いアスベクト比を有するデ
ィバイスに訃いては不適当であることが証明されている
。この場合、ステップのカバーが不十分で、しかもコン
タクトの結合性が不十分で、その上、平面性も不十分で
あるという問題が生じる。
これら問題を解決するため、タングステンおよび他の耐
熱金属が、アルミニウム被着およびパターン化の前に、
サブミクロンのコンタクトを有するディバイスのコンタ
クト充填物として使用されている。たとえば、ブランケ
ット・タングステン層(タングステンの゛′薄膜″)が
被着され、その後、ブランケットのエッチ・バックによ
シ基板の表面から被着されたタングステンを除去する一
方、コンタクト開口またはビアに充填されたすなわち詰
められたタングステンはそのitである。次に、アルミ
ニウム層が被着され、充填されたコンタクト・ビアを含
んでいる基板の表面をカバーする。
その後、このアルミニウム皮膜は、パターン化されかつ
エッチングされて相互接続部分を形成する。
しかし、タングステン・プロセスには、皮膜の大部分が
シリコン基板の表面から除去される時(すなわち、皮膜
が”クリャ”される時)、タングステンのエッチ速度が
コンタクト開口に訃いて劇的に加速するという6マイク
ロ・ローデイング作用′゜の問題がある。その結果、コ
ンタクト充填物すなわちノラグが絶縁体の表面よb下に
くぼみ、場合によってはエツテングの終了までに完全に
除去されてし1う。このマイクロ・ローデイング作用の
ため、基板の全領域からの金属バルブの完全除去を保証
する一方、均一なコンタクト充填物を得ることは非常に
困難である。ウエハの表面上の金属の厚さ筐たはエッチ
ング・プロセスにわずかに均一性を欠いても、基板のあ
る領域における基板の表面のバルク金属は他の領域より
も速くエッチングされる。また、基板の全領域からのバ
ルク金属の完全なエッチングを保81Lするため、わず
かにオーバエッナしたとしても、バルク金属が最初にク
リャする表面の領域にふ・けるコンタクト開口を充填し
ている金属が、急速にエツチl〜始める。
したがって、コンタクト開口の充填レベルは非常に変動
する、すなわち均一で々くなる。このため、バルク金属
が最後にクリャした領域におけるコンタクト開口の充填
物は完全にはエッチングされない。すなわち、この領域
におけるコンタクト開口はタングステンで完全に充填さ
れ、一方、バルク金属がip (にクリヤされた領域の
コンタクト開口における充填物は、様々にエッチングさ
れる。じい換えれば、ちる充填物は表面ようわずかにく
ぼみ、また別の充填物はかなり深くくぼみ、さらに別の
充填物は全くなくなってしまう。
ウエハの表面からバルク金属を完全に除去するエッチ・
バック・プロセスが必要であるが、マイクロ・ローテイ
ング作用のためコンタクト充填物が部分的にまたは完全
にエッチング除去されてしまう。
このマイクロ・ローテイング作用を克.1−IGするい
くつかのエッチング方法がある。たとえば、IEEEV
−MIC会議( 1987年7月l5〜16日)の会報
の巣193頁の「コンタクトおよびビア充執アプリケー
ションに関するタングステンのエッチ・バツクイリF究
」には、CBrF3を含んでいるガス化学薬品とアルミ
ニウムの下層を用いている3段階のエッチング・プロセ
スが述べられている。しかし、このみ法にはいくつかの
欠点がある。エッチ・ストップとしてアルミニウムの下
層を用いていることにより、更に別の処理工程を必要と
する。また、エッチング・ガスとしてCBrFs  を
用いていることによク、エツテヤのチェンバにボリマを
形成してしまう可能性が生じ、その結果、装置のメイン
テナンスの必要が増大する。筐た、1000〜3000
オングストローム/分の遁いエツチ速度のため、生産性
は低下し、大量のIC生産には適さないプロセスになっ
てし筐う。
マイクロ・ローデイング作用を避ける他の方法が、IE
EE  IEDM技術要約に3ける第462頁のFVL
SI相互接続部分のタングステンm>よびそのアプリケ
ーション」に3いて述べられている。
ここで述べられている方法は、タングステン被着を中断
してポリシリコンの薄い介在層を被着することである。
約1000オングストロームのタングステン層が被着さ
れ、その後、約150オングストロームのポリシリコン
層が被着される。これは、タングステンの最終目標の厚
さκ至るまで繰シ返される。タングステンの層は、その
後、エッチ・バックにより除去される。最後のポリシリ
コン層でエッチングは停止され、最初に被着された金属
層がその″!!咬残される。たとえマイクロ・ローデイ
ングがなくてコンタクト充填物が残っていても、このプ
ロセスはIC生産に釦いて有効的ではない。
なぜならば、その後のアルミニウム・エッチにおいてタ
ングステンの最後の層がエッチングされない場合、基板
の表面からエッチングされないこの層により、コンタク
ト間がンヨートされるからである。
また、タングステン被着の後、表面をフォトレジストで
プレーナ化し、かつフォトレジストとタングステンはほ
ぼ同じ速度でエッチングするエッチング方法を使用する
ことも周知である。例として、ジエイ・エレクトロケミ
カル学会Vo).135第936頁< 1988年)の
「マルチレペルVLS Iメタリゼーションにかいて使
用されるプレーナ化タングステン・プラグの形成に関す
るプラズマ・エッチング方法」およびECS秋季会議の
要約Vol,251 第356頁( 1988年)ノ「
CvD、タンクステンのレジスト・エッチ・バックを使
用しているサブミクロンVLS Iディバイスにおける
プレナ化コンタクト・プロセス」が挙げられる。この方
法を使用した場合、全表面を同時にクリャするので均一
なエッチング・プロセスを保持することが重要である。
表面のいくつかの領域が、他の領域よシ早くクリャされ
る場合、マイクロ・ロデイング作用によD最初にクリャ
された領域におけるコンタクト充填物がくぼむかまたは
なくなってし筐う。このような均一なエッチを反復可能
に保持することは、たとえエッチ・プロセスの制御が一
般にうまくいく単一のウェハ・エッチャでも困難である
。さらに、フォトレジス}tたは有機残留物がコンタク
ト充填物の上に残ることがよくあシ、これは残の処理工
程に釦ける汚染源となって、ディバイスの信頼性の問題
につながってしまう場合がある。
最後に、金属被着の前に被着された儀性的SlgN4層
は、マイクロ・ローデイング作用を低減することで知ら
れている。たとえば、IEEE V−MIC会議( 1
989年7月12〜13日)の会報の第129頁の「優
れたタングステン・エッチ・バック方法」に示されてい
る。しかし、ここで述べられている方法It、Si3N
4 被着および除去工程が加わることによりプロセスの
複雑性が増加するという欠点を有(一でいる。Si3N
4の除去には、リン酸を使用ナる必蒙がある。リン酸は
、一般に粒子キ翁率が高く、ディバイスの信頼性の問題
となる汚染を生じる場合がある。また、リン酸の粘性ば
品いので、有効的な妃迦は田難でしかも高価である。さ
らに、エッチ・バックの後、SI3N4層の1にタング
ステン残留物がいくらか残っていることがよくある。こ
のタングステン残留物は、Sj3N4り除去工程におい
てSi3N4 層の一部をマスクし、Si3N4 の除
去の後に、基板の表面に残っているタングステンでカバ
ーされたSt,N.アイランドを残すことになる。
マイクロ・ローデイング作用の問題を解決したエッチ・
バック・プロセス、すなわちコンタクト充填物を均一に
維持しながら、基板の表面からバルク金属を完全に除去
することができるプロセスが必要とされている。さらに
、別の工程を加えたシプロセスを複雑にすることなく、
シかも生産時間が十分に短いICの大量生産が可能なエ
ッチング・プロセスが望まれている。
〔発明の概要〕
本発明は、最少のマイクロ・ローディング作用で、半導
体基板上の耐熱金属層を均−にエッチ・バックするプロ
セスについて述べている。
エッチングは3つの工程において行われる。第1の工程
は、高いプロセス圧力でしかも高いガス流量において六
フツ化イオウ(SF6)、酸素(o2)、ヘリウム(H
8)のガス化学薬品を使用して所定時間エッチングし、
金属膜の厚さの約70%を除去する。この工程において
使用されているガス化学薬品とプロセス・パラメータに
より、基板の表面を、従来のエッチング技術に比較して
非常に均一にエッチングすることができる。このような
エッチングの均一性の増大により、露出されたコンタク
ト開口のオーバエツチ時間が最少になシ、シたがって、
マイクロ・ローディング作用を減少することができる。
第2の工程は、SF6,塩素(Cz2)+Heを含んで
いるガス化学薬品を用いて行なわれる。第2エッチ工程
は、高いガス圧力と高いガス流量を用いている。第2工
程のガス化学薬品とプロセス・パラメータにより、マイ
クロ・ローディング作用がかなり減少されることがわか
っている。第2工程のエンド・ポイントは、塩素の7 
7 2 nmおよび775nmエミッション・ラインの
測定および積算によシ検出される。本発明のエンド・ポ
イントの検出方法は、従来のエッチング・プロセスにお
いて使用される方法よりさらに感度がいい。なせならば
、これらラインの測定および積算によ9、エンド・ポイ
ント検出においてフッ素の通常の704エミッション・
ラインを使用した場合に得られる信号より2〜3倍強い
エンド・ポイント信号が得られるからである。感度の増
大によυ、エンド・ポイントの確認が更に正確になう、
オーバエッチングの可能性が減少する。
プロセスの第3工程は、短時間エッチである。
この工程は、接着下層を除去する他、金属層を完全に除
去するため短いオーバエツチを行なう。この第3工程は
、Cl2およびHeを含んでいるガス化学薬品を用いて
いる。このガス化学薬品は、タングステンより速くチタ
ンまたは窒化チタンをエッチするので、タングステン・
コンタクト充填物をわずかにエッチングするだけで、チ
タン嘩たは室化チタンから成る接着下層を除去すること
ができる。
本発明は3つの工程のプロセスを有し5、その第1工程
は、タングステンのような耐熱金属のバルクを非常に均
一にエッチングする。第2工程は、マイクロ・ローデイ
ング作用を最少にするガス化学薬品とプロセス・パラメ
ータを用いて、コンタクト開口の金属充填物をエッチン
グすることなく半導体基板の表面から金属層を除去する
。第3工程は、接着下層を選択的にエッチングする。こ
の時、タングステン・コ/タクト充填物はわずかにエッ
チングされるだけである。このように、本発明は、シリ
コン基板の全表面からタングステン金属を除去し、均一
に充填されたコンタクト開口を残す。
以下、添付の図面に基いて、本発明の実施例に関し説明
する。
(来施例〕 本発明は、タングステン(W)が均一に充填されたコン
タクト開口−1.fCはビアを残して、半導体系板の表
面からタングステンのような舒j熱金属を均一にエッチ
・バックする方法について述べている。以下の説明に釦
いて、特定の厚さなど様々な訂則の記載は本発明の理解
を助けるためのもので、本発明はこれら詳細な記載に限
定されないことは当業者には明白であろう。また、周知
のプロセスについ“では、本発明を不明瞭にしないよう
詳細な記載は省略する。
第1図は、(ドープされた、1たはドープされていない
)二酸化シリコン(Si02)一または窒化シリコン(
813 N4 :)のような絶縁材科の絶縁層12,1
3とインターレベル金属層14を有する基板を示してい
る。金属1’dl4i,t、前にエッチングされた層で
、たとえば、耐熱金属、耐熱金属ケイ化物またはアルミ
ニウムでできている。絶縁層12.13と金属層14は
、周知である従来技術の被着技術を用いて形成される。
第1図に示すように、コンタクト開口15,16.17
は、絶縁層12.13を貫通して形成されている。コン
タクト開口15,16.17は、周知である従来のフオ
トリソグラフィックおよびエッチング技術を用いて形威
される。コンタクト開口は、1つの絶縁層を貫通して(
たとえば、コンタクト開口16)、または2′)以上の
絶縁層を頁通して(たとえば、コンタクト開口15)形
戒される。
コンタクト開口は、基板10にコンタクトし(たとえば
、コンタクト開口15,i6)、またはインタレペル層
(たとえは、コンタクト開口17は領域18にコンタク
トしている)にコンタクトしている。基板10の表面に
は、このようなコンタクト開口が数多く存在しているが
、第1〜3図には、基板10の一部しか示していない。
コンタクト開口15,16.17には、タングステンが
充填されて、下の領域20.21.18にそれぞれ電気
コンタクトがとられる。コンタクトは、たとえば、領域
20 .21に示すように基板にnまたはp領域、また
は層14の領域18のようなインタレベル金属層にとら
れる。コンタクト開口15,16.17の幅および深さ
は、代表的には、約05ミクロン〜1.5ミクロンであ
る。
開口を規定している一番上の絶縁層のほぼ表面までコン
タクト開口15,16.17を充填することが望ましい
。すなわち、タングステン・コンタクト充填物1たはプ
ラグは、各コンタクト開口の領域において弟1図の絶縁
層13の表面とほぼ同一面になければならない。このこ
とは、第1図のコンタクト開口15,16,17に耘け
る点線11に示されている。実際には、コンタクト充填
物は、一番上の絶縁層の表面と完全には同一平面でなく
てもよく(すなわち、コンタクト充填物はライ/11ま
で充填されなくてもよい)、たとえば、ライン11から
のくぼみが0.2ミクロン以下ならば許容される。しか
し、くぼみκぱらつきがない場合である。すなわち、基
板10の全表面の上の全コンタクト充填物のくぼみは、
0.2ミクロン以下でなければならない。
第2図は、下層22と耐熱金属層23の被着後の第1図
のシリコン基板を示している。下層22は、たとえば、
チタン(T1)、タングステン・チタン(TIW)、’
!たは窒化チタン(TiNJである。
なお、下層22は接着層と呼ばれる場合がある。
下層22の厚さは、本実施例では約1000オングスト
ローム未満である。本発明では、タングステンから成る
耐熱金属層23で実施されているが、他の耐熱金属金エ
ッチングしても、当業者が実施することが可能な動作パ
ラメータのわずかな調整で、同様の結果を生じることが
できる。タングステン層23の厚さは、ほぼ5 , 0
00〜20 , 000オングストロームで、本実施例
では、代表的には約i o , oooオングストロー
ムである。
第2図の被着されたタングステン層23ば、領域14に
示されているように表面の外形にしたがっていて平坦で
はない。しかし、コンタクト開口15,16.17が小
さく、しかもu{〕口の底部の被着と同時にコ/タク1
・開口15,16.17の側壁にも被着されるので、タ
ングステン被着は、基板10の表面よシもコンタクト開
口15,16,170方がより早く進む。したがって、
コンタクト開口15,16.17にトけるタング5ステ
ン層23VCできたテフレツション29(ディンプル9
は、コンタクト開口15.16.17自身の犬きさよシ
もはるかに小さい。すなわち、デブレッション29は、
各コンタクト開口15,161たは1Tと同じ深さ、ま
たは同じ幅ではないが、約02ミクロン0幅である。
次Vこ、タングステン層23はエッチ・バックされる。
通常、タングステン層23の厚さは、最初、表面上のど
の点にトいても等しい。すなわち、タングステン層の上
面から絶縁体の上面の厚さは、前述したようなデプレツ
ション29によるワスカな変化やわずかなプロセス変動
があるものの、基板10の全領域において等しい。した
がって、エッチ・バック方法を使用してタングステンt
*2Sを均一にエッチングする場合、基板10の絶縁体
表面上のタングステンは、基板10の全領域に釦いてほ
ぼ同時にクリャされ、タングステンがクリャされると、
コンタクト開口i5,16.17は周りの一番上の絶縁
層と同一平面になる。
本発明は、第4図の工程50,51.52に示すように
本実施例においては3つの工程のエッチング・プロセス
を用いている。以下の説明にかける流量、圧力、バワ・
レベルなどの特定な記載は本発明の思想から離れること
なく変えることができることは当業者κは明白であろう
本実施例では、エッチ・バックは、ラン・リサ−f・コ
ーポレーション社のオートエッチ490ノような市販の
シングル・ウエハ・エツチャにおいて行なわれる。第4
図の第l工程50はSF6 ,0.,Heのガス化学薬
品を用いている。本実施例では、sFsの流量は、16
0標準立方センチメートル/分(SCCM)で、02の
流量は2 0 8CCMで、Heの流量は150SCC
Mである。また、本実施例では、プロセス圧力は700
ミリトルで、プロセスパワは400 Wである。電極の
距離(エツチャのアノードとカソード間の距離)は、0
.7ctnである。
上記パラメータは、所定の範囲内K唄いて可変である。
表1には、最適な動作パラメータと許容可能な動作パラ
メータの範囲が示されている。最適パラメータは第1列
に示され、本発明にふ・ける大体の範囲は、第2列に示
されている。本実施例では、この第1エツチは、タング
ステン層23の全厚さの70%を除去するよう時間調整
されたエッチ工程である。たとえば、io,oooオン
グストロムのタングステン膜の場合、このエッチングは
7,000オングストロームを除去し、それに約1分費
やす。
この第1エツチは、非常に均一なエッチングである。実
際、基板において±6肇(3シグマ)の均一性が得られ
ている。従来のエッチング技術では、中央のエッチ速度
は基板の縁部よジもかな9遅く、得られた均一性は約1
5%になってしまう。
本発明のエッチングの均一性向上により、露出されたコ
ンタクト開口のオーバエツチ時間が最少になるので、マ
イクロ・ローデイング作用が減少される。正確な化学的
訃よび物理的性質は、あ筐シ厳密には知られていないが
、エツチャのプレート(アノードおよびカソード)間の
小さな空間にプラズマおよび反応棟を閉じ込めることに
よって、高い圧力と高いガス流量が作用し得ると考えら
れている。この閉じ込めによって、プラズマはさらに安
定し、基板の表面において反応種のアベイラビリテイを
ー・定でしかも均一なレベルに保持する。
第2工程51は、本実施例においてエッチング・チェン
バからウエハを除去することなく第1工程のすぐ後に行
なわれる。絽2工和51は、sF,t ,Cl2 , 
H e中で実施される。本実施例では、SFsの流量は
130SCCMで、ct2の流量は70SCCMで、H
,の流量は200SCCMである。1た、本実施例では
、フロセス圧力は、700ミリトルで、プロセス・パワ
は250 W Tある。電極の距離は0.7センチメー
トルである。上記パラメータは、所定の範囲において変
えることができる。表2には、本実施例に釦けるプロセ
スの最適な動作バラメタと動作パラメータの範囲を示し
ている。最適なパラメータは第1列に示している。
マイクロ・ローデイング作用は、従来のエッチング・プ
ロセスに比較してこの第2エッチにトいて大幅に減少さ
れる。前述したように、マイクロ・ローデイ/グ作用は
、コンタクト開口15,16.17におけるエッチング
速度を加速する。この作用は、基板10の表面Kおげる
エッチング速度に比較してコンタクト開口15,16.
17に釦ケるエッチング速度(たとえばミクロン/分)
を決定することにより測定できる。比率1.0龜、マイ
クロ・ローデイング作用が全くないととを示している。
従来のエッチ・バック技術において、この比率は、代表
的には約2.5以上であった。すなわち、コンタクト開
口におけるタングステンは、i板10の表面に残ってい
る夕/グステン工シも2. 5 f@以上のタングステ
ンがエッチングされる。本発明では、この場合は、約1
.2まで大幅に減少されている。SF”6, Cl2 
, I{e  のガス化学薬品の使用と、高いガス流量
の使用とにより、マイクロ・ローデイング作用の大半が
減少される。ガスの相対的速度と、高いプロセス圧力の
使用とにより、マイクロ・ローデイング作用が減少する
ことがわかっている。
マイクロ・ローデイング作用の減少に影響している化学
および物理メカニズムについてはあgわかっていないが
、Clzを使用することによシ異方性にエッチングされ
ると考えられている。すなわち、基板の表面に垂直な方
向のエッチ速度は、基板の表面に平行な方向のエッチ速
度.1m,!)もはるかに速い。これは、マイクロ・ロ
ーテイング作用を生じるデプレツション29の影響を減
少する。
なぜならば、等方性エッチ(両方向とも同じエッチ速度
)においては、デプレツション29の側面がバルク金属
の表面と同じ速度でエッチし、エッチが進行するにつれ
コンタクト充填物の領域におけるギャップが幅広くかつ
深くなるからである。
また、等方性エッチでは、コンタクト充填物中の金属を
完全に除去してしまうことがある。
さらに、流量が速いと、活性種の滞留時間は反応種の寿
命ようも短いと考えられている。このため、エッチ反応
速度3よ、反応柚の寿命によシ制限されないが、チェン
バにレける反応棟Q対流によシ制限される。これら状況
において、マイクロ・ローデイング作用は大幅に減少さ
れる。エッチ速度は、次のように計算される。
R=JG/( 1+KBtA) ここで、Rはエッチ速度、B,G,K は定数、tは活
性種の寿命、Aはエッチされるべき臓出領域である。活
性柚の鍔命tをさらに短い“対流゛゜寿命に置き換える
ことによって、露出される執域に関する分母における項
の影響が1に比較して小さくなシ、シたがってエッチ速
度に対する露出髄域の影響を最少にすることができる。
第2エッチのエンド・ポイントは、塩素の772nH1
と775nmエミッション・ラインの測定′J?よびa
算によう検出される。単独エツチ/グ剤としてフッ素を
含んでいるガスが使用されている従来のプロセスでハ、
フッ素の704nmエミッション・?インを測定するこ
とが一般的であった。いずれの場合にも、タングステン
JfIi23のバルクが除去される時、チェンバ内の禾
反応塩素またはフッ素原子の数が増加する。未反応cz
lたはFの数の増加は、ctiたはFのエミッション・
ラインを測定することによシ検出することができる。エ
ミッション・ラインは、X inin 1014エンド
ポイント・コントローラのような市販されている装置を
用いて測定することができる。前述した市販されたエン
ドポイント・デイテクタは10nmの帯域幅を肩してい
るので、塩素の772nm および775nmラインを
測定かよび積算することができ、したがってフッ素の単
一7 94 nm工■ツション・ラインの従来Q検出方
法金用いるよりも、エンド・ポイントが生じた時にかな
り強い信号を得ることができる。したがって、エンドポ
イントを一層速くしかも正確に検出することができる。
エンドポイントが速く検出されるので、問題となるよう
な予定されていないオーバエツチを生じることなくエッ
チングをストツブすることが一層簡単になる。これは、
エンドポイントが検出される前にコンタクト充填物のか
々りの部分をエッチングする従来のエッチ・プロセスよ
)も優れている。
通常、コンタクト間をショートする、氷板10の表面の
ある佃域にわずかなバルク金属、1kは下層22のよう
な接着層がなお存在する場合、エンドポイントを検出す
ることができる。多くの従来プロセスでは、検出された
エンドポイントを超えてエッチを進めることができる。
しかし、これは、金属ff&23がクリャされた基板1
0の領域に位置するコンタクト開口にかけるタングステ
ンが、通常高速でエッチし続けるという欠点を有してい
る。
本発明では、エツチャ・チェンバから基板10を除去す
ることなく、第2エッチ(第4図の王柱51)の直後に
、タングステンをすぐにはエッチングしない化学薬品を
用いている第3短時間エッチ(第4図の工程52)が行
なわれる。第3エッチにおけるガス化学薬品はCA,と
Heである。本実施例に釦いて、Cl2の流量は130
SCCMで、HQの流量は50SCCMである。渣た、
本実施例では、プロセス圧力は400ミリトルで、プロ
セス・パワは200 Wである。電極距離は0.55c
y++である。本実施例のプロセスに関する最適動作パ
ラメータと動作パラメータの範囲は以下の表3に小され
ている。最適パラメータは、第1列に示されている。
本実施例では、このエッチングは、35,θ・間で実行
される。この工程における特定りガス化学桑品は、タン
グステン上のチタン1たは窒化チタンをエッチングする
際、非常に選択力がある。したがって、下層22として
チタンまたは窒化チタン下層を使用した場合、このエッ
グングは、タングステン・コンタクト充填物をさらに大
きくエッチングすることなく下層22を除去することが
できる。
第3図は、これら3つのエッチング工程後の半導体基板
10を示している。コンタクト開口15,16.17は
、タングステンで充填されている。
コンタクト開口には、わずかなデプレツションがある。
一般に、これらデブレツションの深さは、ウエハの全表
面に分いて0.2ミクロン未満である。
すなわち、コンタクト充填物は非常に均一とカ、z〉,
7上記の3つの工程のエッチング・プロセスは、本発明
の本実施例を示している,2しかし、後述するように、
本発明の目的を達戒するには、上記の工程の全てを使用
しなくてもよい。fcとえは、表2に示し2た範囲の流
量とともにSF6,Cl2,Hoガス化学薬品を使用す
る場合、マイクロ・ローデイング作用を大幅に減少でき
ることがわかっている。同様に、塩素含有エッチング剤
ガスを使用しているプロセスにおいて、エンドボインl
・を検出するため塩素の772nmおよび775エミッ
ション・ラインの測定および積算を使用した場合、従来
の方法に比較してエッチングのエンド・ポイントをより
正確に決定して、オーバエッチングを減少することがで
きる。さらに、コンタクト充填物を形成するのにTi,
’j’iW−iたはTjNの下1−とタングステン金属
層を使用しているプロセスにお・いて、表3に示したプ
ロセス・パラメータとともに、Cl2,He のガス化
学薬品を使用している最終エッチング工程を使用するこ
とにより、金属1−のバルクを除去するためエッチング
において使用された同じ気体とパラメータを使用してオ
ーバエツチを行なう従来技術と比較して、タングステン
のコンタクト充填物のオーパエツチを減少する。
本発明において、SF6 ,o. ,I{eの化学薬品
と第1工程50に訃ける高い流量と圧力とによって、タ
ングステン層23のバルクを均一にエッチングすること
ができる。均一なエッチングは、クリャすべき基板10
の最初の領域とクリャすべき最後の領域との間の時間を
短くすることができる。このような時間の短縮により、
コンタクト充填物がエッチング剤に露出される時間が短
縮され、それによジ、最初にクリャされた領域のコンタ
クト開口にシけるくぼみを減少し、コンタクト開口を均
一に充填することができる。
SF. , ct2, H.の化学薬品と第2工程51
におけるプロセス・パラメータとによって、マイクロ・
ローデイング作用を従来のエッチング・プロセスに比較
して大幅に減少することができる。さらに、これは、最
初にクリャされた領域のコンタクト開口のデブレツショ
ンを減少し、コンタクト開口を均一に充填するよう働く
。その上、第2エッチングは、Clエミッション・ライ
ンを使用することにより、さらに高い感度でエンド・ポ
イントを検出できるので、適切な時間で停止することが
できる。これは、またコンタクト充填物のオバエッチン
グを阻止することになる。
第3エッチェ程52は、下層22の他、タングステン層
23の残シの部分を除去する。この工程に釦いて使用さ
れるガス化学薬品は、タングステン上のTi,TiWま
たはTiNを選択的にエッチングする。したがって、下
層22は、コンタクト充填物を形或しているタングステ
ンよ)もはるかに速くエッチングされ、さらにコンタク
ト充填物をエッチングせずに均一にすることができる。
以上のように、本発明は、タングステン層を均一にエッ
チングする優れたエッチ・バック技術を表 1 表 2 表 3
【図面の簡単な説明】
第1図は、絶縁層、金属層、ディバイス領域、およびコ
ンタクト開口を有する基板の断面図である。 第2図は、その上に形成された耐熱金属層および接着下
層を有する第1図の基板の断面図である。 第3図は、基板の表面から金属層と金属の下層をエッチ
・バックした後の第2図の構追の断面囚である。 第4図は、優れたエッチング・プロセスの本実施例にお
ける工程を示している。 10◆・・・基板、12.13・・・・絶縁層、is,
16.17・・・・コンタクト開口、23・・・●タン
グステン層、29・・・・テ7゛レツション。

Claims (6)

    【特許請求の範囲】
  1. (1)全圧力が約600〜800ミリトルの範囲のSF
    _6,O_2,Heから成る第1混合物の流れにおいて
    第1エッチを実施する工程と、 全圧力が約600〜800ミリトルの範囲のSF_6,
    Cl_2,Heから成る第2混合物の流れにおいて第2
    エッチを実施する工程と、 Cl_2,Heから成る第3混合物の流れにおいて第3
    エッチを実施する工程と、 から成ることを特徴とする耐熱金属のエッチング・プロ
    セス。
  2. (2)基板上の絶縁層に形成されかつ基板におけるその
    下の領域を露出している開口を充填する方法において、 上記絶縁層と上記下の領域をほほカバーするよう上記絶
    縁層と上記下の領域上に耐熱金属を被着する工程と、 上記絶縁層をカバーしている上記耐熱金属をエッチング
    する工程とから成り、上記エツチング工程は、 全圧力が約600〜800ミリトルの範囲のSF_6,
    O_2,Heから成る第1混合物の流れにおいて第1エ
    ッチを実施する工程と、 全圧力が約600〜800ミリトルの範囲のSF_6,
    Cl_2,Heから成る第2混合物の流れにおいて第2
    エッチを実施する工程と、 Cl_2,Heから成る第3混合物の流れにおいて第3
    エッチを実施する工程と、 から成ることを特徴とする開口を充填する方法。
  3. (3)塩素を含んでいるガスを用いている、耐熱金属の
    エッチング・プロセスにおいて、塩素の772nmおよ
    び775nmエミッション・ラインの測定および積算に
    より、上記エッチのエンド・ポイントを検出することを
    特徴とする改善されたエッチング・プロセス。
  4. (4)耐熱金属のエッチング・プロセスにおいて、ガス
    混合物はSF_6,Cl_2,Heから成り、上記ガス
    混合物の圧力は約600〜800ミリトルの範囲である
    ことを特徴とする改善されたエッチング・プロセス。
  5. (5)耐熱金属のエッチング・プロセスにおいて、ガス
    混合物はSF_6,Cl_2,Heから成り、上記ガス
    混合物の圧力は約600〜800ミリトルの範囲で、上
    記エッチのエンド・ポイントの検出は、塩素の772n
    mおよび775nmエミッション・ラインの測定および
    積算によることを特徴とする改善されたエッチング・プ
    ロセス。
  6. (6)タングステン金属、およびチタン、タングステン
    ・チタンまたは窒化チタンの接着下層のエッチング・プ
    ロセスにおいて、ガス混合物はCl_2,Heから成り
    、Cl_2の流量は、100〜200標準立方センチメ
    ートル/分(SCCM)の範囲で、Heの流量は約30
    〜80SCCMの範囲で、ガス圧力は300〜600ミ
    リトルの範囲において最終エッチ工程が実施されること
    を特徴とするエッチング・プロセス。
JP2269717A 1989-11-14 1990-10-09 耐熱金属のエツチング・プロセス Pending JPH03161930A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/436,429 US4980018A (en) 1989-11-14 1989-11-14 Plasma etching process for refractory metal vias
US436,429 1989-11-14

Publications (1)

Publication Number Publication Date
JPH03161930A true JPH03161930A (ja) 1991-07-11

Family

ID=23732365

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2269717A Pending JPH03161930A (ja) 1989-11-14 1990-10-09 耐熱金属のエツチング・プロセス

Country Status (3)

Country Link
US (1) US4980018A (ja)
JP (1) JPH03161930A (ja)
GB (1) GB2238024B (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151376A (ja) * 1991-03-22 1994-05-31 Nec Corp エッチバック法

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1992007377A1 (en) * 1990-10-23 1992-04-30 Genus, Inc. Sacrificial metal etchback system
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5668019A (en) * 1992-01-30 1997-09-16 Mitsubishi Denki Kabushiki Kaisha Method of fabricating thin film transistor
DE69213928T2 (de) * 1992-05-27 1997-03-13 Sgs Thomson Microelectronics Verdrahtung auf Wolfram-Plomben
US5188980A (en) * 1992-07-06 1993-02-23 United Microelectronics Corporation Inert gas purge for the multilayer poly gate etching improvement
US5952246A (en) * 1993-11-03 1999-09-14 Advanced Micro Devices, Inc. Nitride selective, anisotropic Cl2 /He etch process
US5753134A (en) * 1994-01-04 1998-05-19 Siemens Aktiengesellschaft Method for producing a layer with reduced mechanical stresses
US5609775A (en) * 1995-03-17 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd. Dry etch process for titanium-tungsten films
US5780315A (en) * 1995-09-11 1998-07-14 Taiwan Semiconductor Manufacturing Company, Ltd Dry etch endpoint method
JPH09205070A (ja) * 1996-01-25 1997-08-05 Sony Corp プラズマcvd方法、およびこれにより形成された金属膜を有する半導体装置
US6004884A (en) * 1996-02-15 1999-12-21 Lam Research Corporation Methods and apparatus for etching semiconductor wafers
US5952244A (en) * 1996-02-15 1999-09-14 Lam Research Corporation Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
JP3897372B2 (ja) * 1996-03-01 2007-03-22 芝浦メカトロニクス株式会社 金属膜のエッチング方法
US5772906A (en) * 1996-05-30 1998-06-30 Lam Research Corporation Mechanism for uniform etching by minimizing effects of etch rate loading
US5846443A (en) * 1996-07-09 1998-12-08 Lam Research Corporation Methods and apparatus for etching semiconductor wafers and layers thereof
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5980768A (en) * 1997-03-07 1999-11-09 Lam Research Corp. Methods and apparatus for removing photoresist mask defects in a plasma reactor
US6087266A (en) * 1997-06-27 2000-07-11 Lam Research Corporation Methods and apparatus for improving microloading while etching a substrate
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
JP4013308B2 (ja) * 1998-01-21 2007-11-28 ヤマハ株式会社 配線形成方法
US7084066B1 (en) * 2000-07-03 2006-08-01 Cypress Semiconductor Corporation Method of uniformly etching refractory metals, refractory metal alloys and refractory metal silicides
US7601651B2 (en) * 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) * 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US20070287301A1 (en) * 2006-03-31 2007-12-13 Huiwen Xu Method to minimize wet etch undercuts and provide pore sealing of extreme low k (k<2.5) dielectrics
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5563830A (en) * 1978-11-08 1980-05-14 Chiyou Lsi Gijutsu Kenkyu Kumiai End point detection method and its apparatus
US4358338A (en) * 1980-05-16 1982-11-09 Varian Associates, Inc. End point detection method for physical etching process
US4359816A (en) * 1980-07-08 1982-11-23 International Business Machines Corporation Self-aligned metal process for field effect transistor integrated circuits
US4502913A (en) * 1982-06-30 1985-03-05 International Business Machines Corporation Total dielectric isolation for integrated circuits
EP0127689B1 (de) * 1983-05-19 1987-08-26 Ibm Deutschland Gmbh Verfahren zum Herstellen von gedruckten Schaltungen mit in das Isolierstoffsubstrat eingebetteten metallischen Leiterzugstrukturen
EP0234407A1 (en) * 1986-02-28 1987-09-02 General Electric Company Method filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
US4713141A (en) * 1986-09-22 1987-12-15 Intel Corporation Anisotropic plasma etching of tungsten
US4687539A (en) * 1986-10-29 1987-08-18 International Business Machines Corp. End point detection and control of laser induced dry chemical etching

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06151376A (ja) * 1991-03-22 1994-05-31 Nec Corp エッチバック法

Also Published As

Publication number Publication date
GB2238024A (en) 1991-05-22
GB9014809D0 (en) 1990-08-22
US4980018A (en) 1990-12-25
GB2238024B (en) 1993-08-25

Similar Documents

Publication Publication Date Title
JPH03161930A (ja) 耐熱金属のエツチング・プロセス
US5035768A (en) Novel etch back process for tungsten contact/via filling
JP3213820B2 (ja) 半導体基板上のデバイスの製造中に平行板反応器内で耐火性金属層をエッチングする方法
US5747380A (en) Robust end-point detection for contact and via etching
US5013398A (en) Anisotropic etch method for a sandwich structure
US5899749A (en) In situ etch process for insulating and conductive materials
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
TW299469B (ja)
EP0405848A2 (en) Method and apparatus for tapered etching
JP3571784B2 (ja) 半導体装置の配線形成方法
US5296094A (en) Process for etching silicon dioxide layer without micro masking effect
US6569776B2 (en) Method of removing silicon nitride film formed on a surface of a material with a process gas containing a higher-order fluorocarbon in combination with a lower-order fluorocarbon
JP2994374B2 (ja) トレンチ内のカラ―酸化物の形成方法
US6300251B1 (en) Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
JP3088178B2 (ja) ポリシリコン膜のエッチング方法
US5167760A (en) Etchback process for tungsten contact/via filling
JP2000307001A (ja) 半導体装置の製造方法
JPH10261624A (ja) エッチング方法及び多層配線構造
JPH01130529A (ja) チタン/窒化チタン層上のタングステンのもどしエツチング方法
US5783036A (en) Method for dry etching metal films having high melting points
JPH1027804A (ja) 半導体装置及びその製造方法
US7294578B1 (en) Use of a plasma source to form a layer during the formation of a semiconductor device
JPH09321024A (ja) 半導体装置の製造方法
JP3383939B2 (ja) ドライエッチング方法
KR0141172B1 (ko) 금속배선 형성방법