JPH0225026A - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法

Info

Publication number
JPH0225026A
JPH0225026A JP1140261A JP14026189A JPH0225026A JP H0225026 A JPH0225026 A JP H0225026A JP 1140261 A JP1140261 A JP 1140261A JP 14026189 A JP14026189 A JP 14026189A JP H0225026 A JPH0225026 A JP H0225026A
Authority
JP
Japan
Prior art keywords
layer
opening
conductive
semiconductor device
covering
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1140261A
Other languages
English (en)
Other versions
JPH0680651B2 (ja
Inventor
Laarhoven Josephus M F G Van
ヨセフス・マルチヌス・フランシスクス・ヘラルドゥス・ファン・ラールホーフェン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Koninklijke Philips NV
Original Assignee
Philips Gloeilampenfabrieken NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Philips Gloeilampenfabrieken NV filed Critical Philips Gloeilampenfabrieken NV
Publication of JPH0225026A publication Critical patent/JPH0225026A/ja
Publication of JPH0680651B2 publication Critical patent/JPH0680651B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S148/00Metal treatment
    • Y10S148/051Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 (産業上の利用分野) 本発明は、被覆層上に別の層を設けることによって該被
覆層の開口部を通って露出された導電レベルの領域に電
気接触部を設け、被覆層の表面と導電レベルの領域を露
出しこれにより開口部の側壁上に、露出された領域を限
界する前記の別の層の残留部分を残すように、前記の別
の層を異方的にエンチングし、導電レベルの露出された
領域と導電接触を形成するように被覆層上に導電層を設
けることより成る半導体デバイスの製造方法に関するも
のである。
(従来の技術) このような方法は米国特許公告公報(A−4)第641
420号に記載しであるが、ここでは接触される導電レ
ベルはドープされた珪素領域とすることができる。別の
層の残った部分すなわちスペーサ、例えば前記の米国特
許公告公報に記載されているような二酸化珪素は、アル
ミニウム層でもよい次の導電層による被覆面積をより良
くすることができるように開口部の側壁を平滑にするの
に実際役立ちはするが、スペーサすなわち残留部分の壁
は特に開口部の底近くで依然として象、となり、その結
果、接触領域とスペーサすなわち別の層の残留部分との
間に比較約2、な彎曲または角度を生じ、ここで導電性
層の破損や弱点が生じることがある。
(発明が解決しようとする課B) 本発明の目的は、スペーサすなわち別の層の残留部分を
、接触領域とスペーサすなわち別の層の残留部分との間
の彎曲または角度の急峻さを減らすような方法で形成し
、以て導電性層に破損または弱点の生じる可能性を低減
することにある。
(課題を解決するための手段) 本発明は前記の目的を達成するために、被覆層上に別の
層を設けることによって該被覆層の開口部を通って露出
された導電レベルの領域に電気接触部を設け、被覆層の
表面と導電レベルの領域を露出しこれにより開口部の側
壁上に、露出された領域を限界する前記の別の層の残留
部分を残すように、前記の別の層を異方的にエツチング
し、導電レベルの露出された領域と導電接触を形成する
ように被覆層上に導電層を設けることより成る半導体デ
バイスの製造方法において、前記の別の層を、1つの材
料の第1層を被覆層主成る厚さにまた別の材料の第2層
を第1層上に成る厚さに設けることによって設け、この
別の層を、第1層が第2層よりも緩りとエッチされるよ
うにして第1層と第2層とを異なる速度でエッチする異
方性エンチング工程を用いて異方的にエッチし、被覆層
の表面と導電レベルの前記の領域を露出するこの異方性
エツチングの後、開口部の側壁が前記の1つの材料で被
覆されたまま残りまた前記の異なる材料の部分が前記の
1つの材料上を前記の露出された領域から開口部の側壁
を上方に該開口部の深さよりも小さな距離、第1層の厚
さおよび該第1層と第2層がエッチされる異なる速度と
に関係して延在するようにしたことを特徴とするもので
ある。
したがって、本発明の方法では、別の層は異なる材料の
第1層と第2層より成り、これ等の層は、異方性エツチ
ングの間異なる速度でエッチされてその結果異方性エツ
チング後に異なる高さで残る第1層と第2層の部分を生
じ、これにより、特に接触さるべき導電レベルの領域に
隣接してスペーサに緩やかな傾斜を与え、この領域に生
じる次の金属化部の破損または弱点を減少すべくする。
その上、第2層の残留部分すなわちスペーサ(したがっ
て、導電レベルの領域と接触させるために導電層が設け
られる表面の形と傾斜)が開口部の側壁を上方に延在す
る距離は、適当な異方性エツチング工程および/または
第1層の厚さを選択することにより第1層と第2層がエ
ッチされる異なる速度を決めることによって簡単に選ぶ
ことができる。更に、第1層が第2層よりも緩りとエッ
チされるようにした本発明の方法を用いることにより、
通常の自動化手段により異方性エツチングの終点を正確
に決めることができる。
その上、本発明の方法では、異方性エツチングの後露出
される導電レベルの接触領域の寸法は第2層の厚さに関
係し、したがって異方性エツチング後に露出される接触
領域の寸法は第2層の厚さを選ぶことによって所望通り
に調節することができる。更にまた、本発明の方法の使
用は、被覆層の開口部よりも小さな接触領域を生じる筈
である。
したがって、本発明の方法を用いることにより、被覆層
の開口部を実際の所望の接触領域よりも太き(すること
ができるので、例えば開口部を形成させるため感光レジ
ストマスク層に小さな窓をフォトリソグラフ技術で形成
することに関しての問題を避けることができ或いは少な
くとも軽減することができる。
本発明の好ましい一実施例では、被覆層を絶縁層として
設け、開口部を、この絶縁層をマスクを通して異方的に
エッチすることにより形成することができる。
第1層と第2層は通常は絶縁層であるが、導電層を使用
することもできる。第1層および第2層の一方を二酸化
珪素の層としてまた第1層および第2層の他方を窒化珪
素の層として設けることができる。第1層が窒化珪素の
層で第2層が二酸化珪素の層である場合には、この別の
層は、弗化水素含有プラズマを用いて異方的にエッチす
ることができる。
(実施例) 以下に添付の図面を参照して本発明を実施例によって説
明する。
図面は略図的なもので寸法比通りのものでないことを留
意され度い。見易くしまた図面を描く上での便宜上図面
の各部の相対寸法および割合(特に厚さ方向の)は誇張
しまたは縮小されている。
図面特に第1図から第6図において、半導体デバイスを
製造する本発明の方法は、被覆層3上に別の層4,5を
設けることにより被覆層3の開口部を通って露出された
導電レベル1の領域に電気接点を設け、被覆層3の表面
3aと導電レベルの領域10を露出するように別の層4
,5を異方性にエツチングし、それによって、露出され
た領域10を限界する開口部2の側壁2a上に前記の別
の層4゜5の一部を残し、導電レベルの露出された領域
10との電気接触を形成するために被覆層3上に導電層
6を設けることから成る。
本発明によれば、前記の別の層4,5は次のようにして
設けられる。すなわち、1つの材料の第1層4を被覆層
3上に厚さa迄設け、別の材料の第2層5を第1層4上
に厚さb迄設け、第1層4が第2層5よりも緩りとエッ
チされるようにして第1層4と第2層5とが異なる速度
でエッチされる異方性エツチング工程を用いて層4と5
を異方的にエッチし、このため、被覆層3の表面3aと
導電レベル1の前記の領域を露出するための異方性エツ
チングの後、開口部2の側壁2aは前記の1つの材料4
0で被覆されたままでおり、前記の別の材料の部分50
は、露出された領域10から開口部2の側壁2aの上方
に、開口部2の深さよりも小さな距離でそして第1層4
の厚さaおよび第1層と第2層がエッチされる異なる速
度に関係して前記の材料40上に延在する。
本発明の実施例を図面を追って更に詳しく説明する。
第1図において、この実施例における導電レベル1は、
半導体の基板(第1図から6図には図示せず)の一部と
して設けられた金属レベル例えばアルミニウムレベルで
ある。このアルミニウムレベルは、チタン、タングステ
ン、モリブデン、コバルト、クロム、ハフニウムまたは
これ等の任意の合金或いは珪化物のような非アルミニウ
ム含有導電体の保護層で被覆することができる。第7図
に関して後に述べるように、アルミニウムレベルは、半
導体デバイスの最終金属化レベルでもよく、或いは中間
金属化レベルでもよい。導電レベルは必ずしも金属化レ
ベルである必要はなく、例えば絶縁ゲートの導電性ゲー
トを形成する例えばドープされた多結晶シリコンレベル
或いは更には、例えば、絶縁ゲート電界効果トランジス
タのソースまたはドレーンのようなドープされた領域と
することもできる。導電レベルIは、例えば所望の金属
化パターンまたは絶縁ゲート構造を得るためにパターン
を与えられることができること、また導電レベルの1つ
の領域の部分のみが図に示されていることはあ論わかる
であろう。
この実施例におけるように導電レベル1がアルミニウム
で形成されている場合には、このアルミニウムはスパッ
タ堆積または化学気相堆積のような通常の技術を用いて
下の構造体(第1図から第6図には図示せず)上に設け
ることができ、例えば約1マイクロメートルの厚さを有
することができる。
被覆層3は、この実施例では、例えばプラズマ化学気相
堆積(plasma enhanced chemic
al vapourdepositjon)或いは、例
えばトリエチルオキシシラン(trjethyloxy
silane:TE01)のようなオルガノシラン化合
物を用いた低圧化学気相堆積技術のような任意の適当な
技術を用いて堆積されることのできる二酸化シリコンの
層として導電レベル1上に設けられる。この被覆N3は
例えば約0.7マイクロメードルの厚さを有することが
できる。
被覆または絶縁層3の形成後、通常の感光レジシスト例
えばHunt Companyでつ(られている1(P
R204のようなポジティブ感光レジストの層11が例
えば約1.3マイクロメートルの厚さに被覆層3上に設
けられ、開口部2が形成される窓12を有する感光レジ
ストマスクを形成するために通常のりソグラフィおよび
マスクパターン技術を用いてパターン付けされる。前述
よりわかるように感光レジスト層11は被覆層3の略々
2倍の厚さとなるが、簡単のためにレジスl−111は
第1図には実際よりも幾らか薄く示しである。
被覆層3は次いで開口部2を形成するように窓12を通
して異方的にエッチされ、これにより導電レベル1の領
域が露出する。第1図は開口部2が形成された後の構造
を示すもので、この場合窓12の開口および異方性工・
ンチング以前の被覆層3とマスク(感光レジスト層)1
1の広がりが点線で示されている。開口部2は、上から
見た場合すなわち被覆層3の表面3aを見上した場合に
任意の所望の形を有することができ、円形または略々円
形状の開口部でもよく、この場合には側壁2aは連続的
で実際には単一の壁を形成するものであることは言う迄
もなくわかるであろう。
被覆N3は任意の適当な異方性エツチング技術を用いて
エッチすることができる。したがって、不活性キャリヤ
ーガス例えばアルゴン内の弗素含有プラズマ(例えば被
覆層3が二酸化珪素の場合にはCF4. CHF3また
はCXF、  (例えばC2F4)または被覆層3を形
成する絶縁材料に対して適当な場合にはSF、或いはN
F+)を開口部2をエッチするのに用いることができる
この実施例では、開口部2は約1から2マイクロメート
ルの幅を存することができる。
開口部2が形成された後、被覆層3の表面3aを露出す
るために感光レジストマスク11が通常の技術を用いて
除去される。第2図に示すように、被覆層3、開口部の
側壁2aおよび露出された接点領域10を被覆するよう
に次いで第1層4がプラズマ化学気相堆積法のよな通常
の技術を用いて窒化珪素の薄い層として設けられる。こ
の実施例では、窒化珪素層4は約100ナノメートル(
nm)の厚さを有することができる。
次に第3図において、窒化珪素の第1層4が形成された
後第2層5が二酸化珪素の層として形成されるが、この
層もやはり任意の適当な技術例えばプラズマ化学気相堆
積法を用いて設けることができる。この二酸化珪素の第
2層5は窒化珪素の第1層4全体を覆い、約200nm
の厚さを有することができる。
第2層5、この実施例では二酸化珪素の層が設けられて
別の層が完成されると、この別の層45は、第4図と5
図に関して後述するように第2層5よりも第1層4をよ
り緩りとエッチする技術を用いて、例えば異方性プラズ
マエツチングにより異方的にエッチされる。
第1層と第2層4と5が夫々窒化珪素と二酸化珪素で形
成されたこの実施例では、次いで、キャリヤーガスとし
てのアルゴン内の四弗化炭素(CF4)、 CHF:l
またはCXFy(例えばC2F4)のようなフルオロカ
ーボンプラズマを用いて異方性エツチングを行うことが
できる。この実施例では、二酸化珪素の第2層を窒化珪
素の第1層4の少なくとも2倍速くエッチする組合せ四
弗化炭素およびCIIF:lプラズマが用いられる。
異方性エツチングの進行は通常の技術でモニターされ、
この場合にはプラズマ内の成分のスペクトル特にスペク
トルの一酸化炭素の(483r+n+にオケる)放出線
を検査することによってモニターされる。したがって、
−酸化炭素線の強さは最初二酸化珪素の第2層5がエッ
チされている時は大きく、次いで第4図に示すように窒
化珪素の第1層4の頂面4aが露出された時に急に落ち
、窒化珪素の第1層4が被覆層3の頂面3aより除去さ
れて被覆層3の二酸化珪素がエッチされ始める時に最後
に急に上る。したがって、本発明の方法を用いれば、第
1層4の存在が、通常の自動化手段による異方性エツチ
ングの終点の正確な決定を可能にする。
第5図に示した窒化珪素の第1層4が被覆層3の頂面か
ら除去される時点、したがってまた最終の接点領域10
が露出される時点はそれ故容易且つ正確に検出すること
ができ、異方性エツチングは、プラズマ窒化珪素の第1
層4が被覆層3の頂面3bより取り除かれることまた最
終の接点領域10上に設けられる導電層6との良好な電
気接続を容易にするように該接点領域に絶縁材料がない
ことを確実にするため場合によっては僅かなオーバーエ
ツチングをもって、この時点で停止する。
使用されるエツチング技術は異方性で、したがって層を
一方向にだけすなわち被覆層3の表面3aに直角な方向
にエッチするので、プラズマ窒化珪素層表面4aが丁度
露出された時、第4図に示すように、開口部2の側壁2
aは、窒化珪素の第1層4および二酸化珪素の第2層5
の部分5′で覆われたままでいる。異方性エツチングは
、第2J’W5の材料、この実施例では二酸化珪素を第
1層4の材料、この実施例では窒化珪素よりも速くエッ
チするので、窒化珪素を被覆層の表面3aより除去する
ための異方性エツチングに費やされる時間中開口部2の
側壁2a上の二酸化珪素の厚さは窒化珪素の厚さよりも
多く除去される。したがって、第5図に示すように異方
性エツチングの終りでは開口部2の側壁2aは第1層4
の残りの部分すなわちスペーサ40で覆われたままで、
更に、第2層5の残留部分50すなわちスペーサ50が
前記のスペーサ40上に残る。二酸化珪素の第2層5の
残留部分すなわちスペーサ50は、露出された領域すな
わち最終の接点領域10から開口部2の側壁2aの上方
に該開口部の深さdよりも小さい距離Xだけ延在する。
スペーサすなわち第2層5の残留部分50はこのように
第1N4の残留部分すなわちスペーサ40の一部分上だ
けに延在し、したがって、第5図よりわかるように、最
終の接点領域10を限界する開口部2の側壁に段状の輪
郭を与える。
異方性エツチングが停止されてから、導電層6が第6図
に示したように設けられる。この実施例では、前記の導
電層6は、導電レベル1と同様にして堆積されたアルミ
ニウムの別のレベルとして与えられる。第1層4が第2
層5よりも緩りとエッチされるように異なる材料の第1
層と第2層4と5を設ける結果、第5図に示すように第
1層と第2層4と5の残留部分40と50で形成された
段状または二重スペーサができ、このため、接点孔すな
わち開口部2の側壁2aは、そうでない場合よりも著し
く緩やかな傾斜を有する。更に、第5図に示すように、
第1層と第2層4と5の異方性エッチングの結果第1層
4の残留部分40の頂部40aが僅かに円くなる。した
がって、本発明゛の方法を用いることにより、導電層6
を、垂直に近い1頃斜および鋭いかどではなくて既に比
較的緩やかな傾斜とかどを有する表面上に設けることが
可能になり、このため、垂直に近い傾斜と鋭いかどがあ
る場合に起こりがちな導電層の不適当な被覆や弱さ或い
は更には破損のような問題を避けることができ、或いは
少なくとも軽減することができる。その上、第5図から
れかるように、本発明の方法を用いる結果最終接点領域
の面積が最初の開口部2よりも幾から小さくなるので、
特に含まれる寸法が小さな場合、1マイクロメートル或
いはそれ以下の場合、N3内の最初の開口部2を所望の
最終の接点領域10の断面積よりも大きくつくることが
でき、このことは、感光レジストマスク層11によって
形成される窓が最終の接点領域よりも大きくてよ(した
がって感光レジストマスク層に極めて小さな窓をフォト
リソグラフ技術で形成することに関する問題を避けるこ
とができ或いは少なくとも軽減できることを意味する。
前述したように、開口部2の側壁2aを上方に延在する
スペーサ50の高さXは、第1FF4と第2層5がエッ
チされる速度の差すなわち使用する異方性エツチング工
程の選択度と第1層4の厚さとに関係する。
前述したように、第1層と第2層4と5が夫々窒化珪素
と二酸化珪素で形成されまた異方性エツチング工程が二
酸化珪素を窒化珪素より約2倍の速度でエッチする場合
、第4図に示すように窒化珪素層4の表面4aが一旦露
出されると、この窒化珪素層4を被覆層3の厚さを表面
3aから除去するのに用いられる時間内に約2倍の二酸
化珪素の第2層5の厚さが開口部2の側壁2aより除去
されるので、前述した実施例におけるように第1層が1
00ナノメートルの厚さを有する場合第2層5の約20
0ナノメートルの厚さが開口部の側壁2aより除去され
る。
本発明者は、−船釣に、スペーサ50の高さXは式(d
−x)=(s−1)a (d、x、sおよびaは前述し
た通りのものである)で与えられることを見出したもの
で、したがって第2層5が第1層4よりも2倍速くエッ
チされて選択度Sが2となりまた第114が100ナノ
メートルの厚さを存する場合は(d−x)=(2−1)
 100・100ナノメートルになる。したがって、前
述の実施例において開口部の深さd・0.7マイクロメ
ードルの場合にはx=600ナノ−トルである。
開口部の側壁2aを上方に延在する残留部分すなわちス
ペーサ50の距離Xは、異方性エツチング工程を選択す
ることによって選ぶことができる。したがって、例えば
、仮りに異方性エツチング工程が第2層5を第1層4よ
り速くエッチして選択度Sが3であるとすれば、同じ第
1層の厚さで(d−x)は200ナノメートルになり、
d=0.7マイクロメードルに対しX・500ナノ−ト
ルになろう。
開口部20寸法により第1および第2層の厚さの増加に
制限はあるが、選択度S=2を保ち、第1層の厚さaを
200ナノメートルに調節することによって同じ結果を
得ることができる。
スペーサ50に対して所望の高さXを与えるために、第
1層4の厚さaと選択度Sの両方を選択または調節でき
ることは勿論である。第2層5の厚さは、スペーサ50
の高さに影響はしないが、最終の接点領域10が開口部
2の幅(この開口部2が断面円形の場合には直径)から
第1層4と第2層5を合わせた厚さの2倍を引いたもの
に略々等しい幅(最終の接点領域10が円形の場合には
例えば直径)を有するという点で、異方性エツチング後
に露出される最終の接点領域に影響を与える。若し第2
層5をより厚くまたはより薄くすると、異方性エツチン
グ後に残されるスペーサ50はこれに応じてより厚くま
たはより薄くなり、したがって最終の接点領域10はよ
り小さ(またはより大きくなる。このように、最終の接
点領域10の寸法は、開口部2を所望の最終の接点領域
10よりも大きくすることを許容ししたがって開口部2
を形成するのに用いる感光レジストマスクJillの窓
12を所望の接点領域よりも大きくすることを可能にし
ながら第2層の厚さを選択することによって選ぶことが
できので、■から2マイクロメートルのオーダーの小さ
な窓をマスク層11にフォトリソグラフ技術で形成する
時に生じることのある問題を避けることができまたは少
なくとも軽減することができる。
前述した実施例では、第1層4と第2層5は夫々窒化珪
素と二酸化珪素で形成されている。けれども、第1層を
第2層よりも緩りとエッチさせる異方性技術を用いるこ
とができるならば、任意の2つの異なる絶縁材料を第1
層と第2層4と5を形成するのに用いることができる。
したがって、例えば、第1および第2層の一方はポリイ
ミドまたは酸窒化珪素層で他方は窒化珪素または二酸化
珪素でもよい。更に、第1層4は二酸化珪素で第2層は
窒化珪素とすることもできるが、この場合には、異方性
エツチング工程は窒化珪素を二酸化珪素よりも速くエッ
チするように選ばれ、例えばやはりアルゴンのような不
活性キャリーガス内の酸素を含むSFbプラズマを用い
ることができるであろう。
どちらかといえば汚いまたは汚染される傾向を有する窒
化珪素を用いる代りに、第1層と第2層4と5を共に二
酸化珪素で形成し、この場合第2層5の方が第1層4よ
りも速くエッチすることを確実にするように第2層をド
ープすることもできる。けれども、ドープされない二酸
化珪素とドープされた二酸化珪素との間の選択度Sはそ
れ程大きくないのが普通で、通常は2よりも小さい。
第1層4はAIJz層とすることもでき、このAI、0
.は、やはり二酸化珪素層でよい第2層よりも著しく緩
りとエッチされるという利点を有する。
けれども、A12Off層を第1層として用いた場合に
は、スペーサ50が一旦形成されると、残留酸化アルミ
ニウムを所望の一定の領域10より除去するように、異
方性エツチング工程において別の工程例えば異方性プラ
ズマエツチングを用いる場合にはプラズマの含有量の調
節が必要であろう。
第7図は電子デバイス、例えば本発明方法を用いて得ら
れた金属化レベルを有するCMO5集積回路のような集
積回路の単結晶シリコン半導体70の一部の略断面図を
示す。
第7図に示した半導体70の部分は該半導体70の表面
70aに隣接してドープ領域71を有する。この半導体
70は勿論多数のこのようなドープ領域を有する。第7
図に示した特定の領域71は絶縁ゲート電界効果トラン
ジスタ(HOST)のソースまたはドレーン領域を形成
する。このHOSTの絶縁ゲートは、薄い二酸化珪素ゲ
ート層73上に堆積されたドープ多結晶珪素導電ゲート
72により半導体70の表面7Qaに設けられる。公知
のようにして珪素の局部酸化(LOGOS)で形成され
たフィールド酸化物74(一部を第7図に破線で示す)
はHOSTの領域を形成する。
次の金属化に下部接点抵抗を設けるために、チタンが表
面70a上にスパッタ堆積され、次いで急速に加熱され
て、露出された珪素表面領域すなわちドープ領域71と
多結晶珪素ゲート層72上に珪化チタン接点層75を形
成する。絶縁材料上の残りのチタンは、例えば過酸化水
素と水酸化アンモニウムの水溶液中でのエツチングによ
り除去される。
珪化チタンの代りに、珪化コバルトのようなその他の珪
化物を用いることもできる。
次いで絶縁層76が化学気相堆積により表面上に堆積さ
れる。通常のフォトリソグラフおよびエツチング技術を
用い、次の金属化部との接続を可能ならしめるために、
絶縁層76を通って通路77があけられる。次に堆積さ
れるタングステン層の絶縁材料への付着を改良するため
に、先ず付着層(図示せず)を絶縁層76上および通路
74内に堆積することによって導電性充填物80を形成
することができる。この付着層は、例えばチタンまたは
チタン−タングステンでよく、当該技術で公知のように
スパッタ堆積することができる。例えば化学気相堆積に
よるタングステンの堆積の後、堆積材料は例えばSP、
を用いてエッチバック(etching back)さ
れ、タングステンの充填物80を通路77内に残して絶
縁層76の表面を露出する。金属化の導電レベル、この
実施例ではアルミニウムレベルが次いでスパッタ堆積と
バターニング(patterning)により通常の方
法で形成される。代りに、充填物80を省略し、導電レ
ベルを直接に通路77上に堆積してもよい。
この実施例では導電レベル100は第1図から5図に示
した導電レベルに相当するが、この場合下にある絶縁層
と半導体とが、上に導電レベル100が設けられる基板
101を形成する。
本発明の方法は、次いで、前述したように、別の金属化
部6′を、被覆層3′に形成され且つスペーサ40′と
50′が前述のようにして設けられた開口部を経て導電
レベル1に接触させるのに用いられる。前記の別の層6
′が最後の金属化層の場合には、当該技術において普通
のようにこの別の金属化部上に不活性化層(第7図には
図示せず)を設けることができる。
したがって、第7図に示した実施例では、本発明の方法
は、第2のおそらくは最後の金属化レベルを設け、下に
ある図示のような第1の金属化レベルと相互に接続する
のに用いられている。けれども本発明の方法は、第7図
よりわかるであろうように、第2、第3、第4等の金属
化レベルを下の例えば第1、第2、第3等の金属化レベ
ルと接触させるのに用いることができる。その上、本発
明の方法は、特にタングステン充填物が設けられない場
合、第1金属化レベルを半導体領域例えば第7図に示し
た領域71および/または絶縁ゲート73、72或いは
導電性ストリップのようなその他の表面主要部に接続す
るのに用いることもできる。
以上述べた方法では第1および第2層4と5は絶縁層で
あるが、必ずしもそうでなくてもよく、実際にこれ等の
第1層および第2層は導電層例えば夫々タングステンと
チタン−タングステン合金層とすることもできる。
更にまた、図面による前述の方法では別の層は第1およ
び第2層4および5で形成されているが、この別の層を
3つまたはそれ以上の層で形成し、第1層が最も緩りと
0、また最後の層が最も速くエッチされてこれにより開
口部の側壁2aに3重またはそれ以上の段状スペーサを
設けることも可能であろう。
以上の発明の開示から、その他の変更は当業者には明ら
かであろう。このような変更は、この技術において既に
知られ、またはここに既に述べた特徴の代りにまたはそ
れに加えて用いられることができる他の特徴を含むこと
ができる。この出願では特許請求の範囲は特徴の特定の
組合せを示しているが、本願に開示した観点は、それが
任意の請求項に既に請求さているのと同じ発明であろう
となかろうと、明白にまたは暗にここに開示された任意
の新規な特徴またはその組合せ或いはその特徴またはそ
れ等特徴の1つまたはそれ以上の概括または変形をも含
むものと理解され度い。本願人は、本願または本願より
派住した別の出願の係属中に新しい特許請求の範囲がこ
のような特徴および/またはこのような特徴の組合せに
対して示されることがあることをここに明らかにしてお
く。
【図面の簡単な説明】
第1図から第6図は本発明の方法の各工程段階における
半導体の一部の断面図、 第7図は本発明の方法を用いて製造した半導体デバイス
の一部の断面図である。 1、100・・・導電レベル  2・・・開口部2a・
・・開口部の側壁   3.3′・・・被覆層4・・・
第1層      5・・・第2層6.6′・・・導電
層    IO・・・接触領域40、50.40’、 
50’・・・スペーサ101・・・デバイス構造体

Claims (1)

  1. 【特許請求の範囲】 1、被覆層上に別の層を設けることによって該被覆層の
    開口部を通って露出された導電レベルの領域に電気接触
    部を設け、被覆層の表面と導電レベルの領域を露出しこ
    れにより開口部の側壁上に、露出された領域を限界する
    前記の別の層の残留部分を残すように、前記の別の層を
    異方的にエッチングし、導電レベルの露出された領域と
    導電接触を形成するように被覆層上に導電層を設けるこ
    とより成る半導体デバイスの製造方法において、前記の
    別の層を、1つの材料の第1層を被覆層上或る厚さにま
    た別の材料の第2層を第1層上に或る厚さに設けること
    によって設け、この別の層を、第1層が第2層よりも緩
    りとエッチされるようにして第1層と第2層とを異なる
    速度でエッチする異方性エッチング工程を用いて異方的
    にエッチし、被覆層の表面と導電レベルの前記の領域を
    露出するこの異方性エッチングの後、開口部の側壁が前
    記の1つの材料で被覆されたまま残りまた前記の異なる
    材料の部分が前記の1つの材料上を前記の露出された領
    域から開口部の側壁を上方に該開口部の深さよりも小さ
    な距離、第1層の厚さおよび該第1層と第2層がエッチ
    される異なる速度とに関係して延在するようにしたこと
    を特徴とする半導体デバイスの製造方法。 2、被覆層を絶縁層として設け、開口部を、この絶縁層
    をマスクを通して異方的にエッチすることにより形成す
    る請求項1記載の半導体デバイスの製造方法。 3、第1層と第2層を絶縁層として設ける請求項1また
    は2記載の半導体デバイスの製造方法。 4、第1層および第2層の一方を二酸化珪素の層として
    また第1層および第2層の他方を窒化珪素の層として設
    ける請求項3記載の半導体デバイス。 5、第1層を窒化珪素の層としてまた第2層を二酸化珪
    素の層として設ける請求項4記載の半導体デバイスの製
    造方法。 6、別の層を、弗素含有プラズマを用いて異方的にエッ
    チする請求項5記載の半導体デバイスの製造方法。
JP1140261A 1988-06-06 1989-06-03 半導体デバイスの製造方法 Expired - Fee Related JPH0680651B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB8813303A GB2219434A (en) 1988-06-06 1988-06-06 A method of forming a contact in a semiconductor device
GB8813303.8 1988-06-06

Publications (2)

Publication Number Publication Date
JPH0225026A true JPH0225026A (ja) 1990-01-26
JPH0680651B2 JPH0680651B2 (ja) 1994-10-12

Family

ID=10638124

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1140261A Expired - Fee Related JPH0680651B2 (ja) 1988-06-06 1989-06-03 半導体デバイスの製造方法

Country Status (6)

Country Link
US (1) US4956312A (ja)
EP (1) EP0345875B1 (ja)
JP (1) JPH0680651B2 (ja)
CN (1) CN1018309B (ja)
DE (1) DE68917995T2 (ja)
GB (1) GB2219434A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04356944A (ja) * 1991-04-03 1992-12-10 Matsushita Electron Corp 半導体装置およびその製造方法
JPH0697154A (ja) * 1990-06-29 1994-04-08 Applied Materials Inc 反応装置の自己洗浄方法

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5201993A (en) * 1989-07-20 1993-04-13 Micron Technology, Inc. Anisotropic etch method
KR910013463A (ko) * 1989-12-29 1991-08-08 김광호 반도체 소자의 개구형성방법
US5049525A (en) * 1990-06-29 1991-09-17 Texas Instruments Incorporated Iterative self-aligned contact metallization process
US5162261A (en) * 1990-12-05 1992-11-10 Texas Instruments Incorporated Method of forming a via having sloped sidewalls
KR920015542A (ko) * 1991-01-14 1992-08-27 김광호 반도체장치의 다층배선형성법
KR950000519B1 (ko) * 1991-02-28 1995-01-24 현대전자산업 주식회사 폴리실리콘층을 이용한 자기정렬콘택 제조방법
JP3166221B2 (ja) * 1991-07-23 2001-05-14 日本電気株式会社 半導体装置及びその製造方法
JPH0529254A (ja) * 1991-07-24 1993-02-05 Sony Corp 配線形成方法
JPH0562967A (ja) * 1991-09-02 1993-03-12 Sharp Corp 半導体装置の製造方法
US5356722A (en) * 1992-06-10 1994-10-18 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
KR100260577B1 (ko) * 1992-09-09 2000-08-01 김영환 자기정렬형 콘택 형성방법
US5756397A (en) * 1993-12-28 1998-05-26 Lg Semicon Co., Ltd. Method of fabricating a wiring in a semiconductor device
US6111319A (en) 1995-12-19 2000-08-29 Stmicroelectronics, Inc. Method of forming submicron contacts and vias in an integrated circuit
US6066555A (en) 1995-12-22 2000-05-23 Cypress Semiconductor Corporation Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US6337266B1 (en) * 1996-07-22 2002-01-08 Micron Technology, Inc. Small electrode for chalcogenide memories
US6149974A (en) * 1997-05-05 2000-11-21 Applied Materials, Inc. Method for elimination of TEOS/ozone silicon oxide surface sensitivity
US6780776B1 (en) * 2001-12-20 2004-08-24 Advanced Micro Devices, Inc. Nitride offset spacer to minimize silicon recess by using poly reoxidation layer as etch stop layer
KR100467021B1 (ko) * 2002-08-20 2005-01-24 삼성전자주식회사 반도체 소자의 콘택 구조체 및 그 제조방법
US7749911B2 (en) * 2004-11-30 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an improved T-shaped gate structure
US7442649B2 (en) * 2005-03-29 2008-10-28 Lam Research Corporation Etch with photoresist mask
US8575026B2 (en) * 2011-11-03 2013-11-05 Infineon Technologies Ag Method of protecting sidewall surfaces of a semiconductor substrate
CA2898598C (en) 2013-01-18 2023-01-03 Yale University Superconducting device with at least one enclosure
KR102192270B1 (ko) * 2013-01-18 2020-12-18 예일 유니버시티 적어도 하나의 인클로저를 구비한 초전도 디바이스를 제조하는 방법
US20150187701A1 (en) 2013-03-12 2015-07-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Devices and Methods of Manufacture Thereof
US9076715B2 (en) 2013-03-12 2015-07-07 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure for connecting dies and methods of forming the same
US20140357083A1 (en) * 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
CA2927326C (en) 2013-10-15 2024-02-27 Yale University Low-noise josephson junction-based directional amplifier
US10056353B2 (en) 2013-12-19 2018-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9412719B2 (en) * 2013-12-19 2016-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect apparatus and method
US9425150B2 (en) 2014-02-13 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-via interconnect structure and method of manufacture
US9948254B2 (en) 2014-02-21 2018-04-17 Yale University Wireless Josephson bifurcation amplifier
JP2014160846A (ja) * 2014-04-10 2014-09-04 Renesas Electronics Corp 半導体記憶装置
US9543257B2 (en) 2014-05-29 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9455158B2 (en) 2014-05-30 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC interconnect devices and methods of forming same
US9449914B2 (en) 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
KR20170134399A (ko) 2015-02-27 2017-12-06 예일 유니버시티 평면 큐비트를 비-평면 공진기에 결합하기 위한 기술 및 관련 시스템 및 방법
WO2016138406A1 (en) 2015-02-27 2016-09-01 Yale University Josephson junction-based circulators and related systems and methods
US10404214B2 (en) 2015-02-27 2019-09-03 Yale University Techniques for producing quantum amplifiers and related systems and methods
EP3284115B1 (en) 2015-04-17 2023-06-07 Yale University Wireless josephson parametric converter
CN106158765B (zh) * 2015-04-24 2019-03-12 旺宏电子股份有限公司 半导体元件及其制造方法
CN106601793B (zh) * 2015-10-15 2020-05-01 中国科学院微电子研究所 一种半导体器件及其制造方法
WO2017123940A1 (en) 2016-01-15 2017-07-20 Yale University Techniques for manipulation of two-quantum states and related systems and methods
WO2019118442A1 (en) 2017-12-11 2019-06-20 Yale University Superconducting nonlinear asymmetric inductive element and related systems and methods
US10546992B2 (en) 2018-06-28 2020-01-28 International Business Machines Corporation Buried electrode geometry for lowering surface losses in superconducting microwave circuits
US11223355B2 (en) 2018-12-12 2022-01-11 Yale University Inductively-shunted transmon qubit for superconducting circuits
EP3912200B1 (en) 2019-01-17 2024-05-15 Yale University Josephson nonlinear circuit

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4322883A (en) * 1980-07-08 1982-04-06 International Business Machines Corporation Self-aligned metal process for integrated injection logic integrated circuits
US4507853A (en) * 1982-08-23 1985-04-02 Texas Instruments Incorporated Metallization process for integrated circuits
FR2549293B1 (fr) * 1983-07-13 1986-10-10 Silicium Semiconducteur Ssc Transistor bipolaire haute frequence et son procede de fabrication
US4641420A (en) * 1984-08-30 1987-02-10 At&T Bell Laboratories Metalization process for headless contact using deposited smoothing material
SE453547B (sv) * 1985-03-07 1988-02-08 Stiftelsen Inst Mikrovags Forfarande vid framstellning av integrerade kretsar der pa en substratplatta ledare och s k gate-strukturer uppbygges
JPS62517A (ja) * 1985-06-26 1987-01-06 Daicel Chem Ind Ltd 光素子用封止剤
US4751198A (en) * 1985-09-11 1988-06-14 Texas Instruments Incorporated Process for making contacts and interconnections using direct-reacted silicide
US4818723A (en) * 1985-11-27 1989-04-04 Advanced Micro Devices, Inc. Silicide contact plug formation technique
JPH07121864B2 (ja) * 1987-06-26 1995-12-25 日本ケミファ株式会社 脳障害抑制作用を有する医薬組成物
JP3437328B2 (ja) * 1995-06-16 2003-08-18 株式会社内田洋行 Oa機器固定用具
JPH091943A (ja) * 1995-06-19 1997-01-07 Fuji Photo Film Co Ltd 熱転写受像材料

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0697154A (ja) * 1990-06-29 1994-04-08 Applied Materials Inc 反応装置の自己洗浄方法
JPH04356944A (ja) * 1991-04-03 1992-12-10 Matsushita Electron Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
EP0345875A2 (en) 1989-12-13
DE68917995T2 (de) 1995-05-04
US4956312A (en) 1990-09-11
EP0345875A3 (en) 1990-03-21
EP0345875B1 (en) 1994-09-07
CN1042274A (zh) 1990-05-16
GB8813303D0 (en) 1988-07-13
GB2219434A (en) 1989-12-06
DE68917995D1 (de) 1994-10-13
CN1018309B (zh) 1992-09-16
JPH0680651B2 (ja) 1994-10-12

Similar Documents

Publication Publication Date Title
JPH0225026A (ja) 半導体デバイスの製造方法
US6136679A (en) Gate micro-patterning process
US6291137B1 (en) Sidewall formation for sidewall patterning of sub 100 nm structures
US6255022B1 (en) Dry development process for a bi-layer resist system utilized to reduce microloading
US20010041444A1 (en) Tin contact barc for tungsten polished contacts
US5872385A (en) Conductive interconnect structure and method of formation
JPH06140396A (ja) 半導体装置とその製法
JPH0821581B2 (ja) 半導体装置の製造方法
JPH0817930A (ja) エッチング・ストップ層を利用する半導体装置構造とその方法
US6235653B1 (en) Ar-based si-rich oxynitride film for dual damascene and/or contact etch stop layer
US6350682B1 (en) Method of fabricating dual damascene structure using a hard mask
US5915198A (en) Contact process using taper contact etching and polycide step
KR100457046B1 (ko) 반도체 장치의 제조에서 콘택 형성 방법
KR100207462B1 (ko) 반도체 장치의 커패시터 제조방법
US6214737B1 (en) Simplified sidewall formation for sidewall patterning of sub 100 nm structures
US5422312A (en) Method for forming metal via
CN111524857B (zh) 一种半导体结构及其制备方法
KR100289655B1 (ko) 반도체소자의금속배선형성방법
US5981385A (en) Dimple elimination in a tungsten etch back process by reverse image patterning
US6245656B1 (en) Method for producing multi-level contacts
KR100276146B1 (ko) 집적 회로 제조 방법
US6071824A (en) Method and system for patterning to enhance performance of a metal layer of a semiconductor device
US6881678B2 (en) Method for forming a dual damascene structure in a semiconductor device
US6451706B1 (en) Attenuation of reflecting lights by surface treatment
US5858874A (en) Method of fabricating semiconductor device having step of forming plug in contact hole

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees