JPH02230739A - 耐火金属の付着方法 - Google Patents

耐火金属の付着方法

Info

Publication number
JPH02230739A
JPH02230739A JP1338901A JP33890189A JPH02230739A JP H02230739 A JPH02230739 A JP H02230739A JP 1338901 A JP1338901 A JP 1338901A JP 33890189 A JP33890189 A JP 33890189A JP H02230739 A JPH02230739 A JP H02230739A
Authority
JP
Japan
Prior art keywords
refractory metal
region
silicon
layer
refractory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP1338901A
Other languages
English (en)
Other versions
JPH0736403B2 (ja
Inventor
Rajiv V Joshi
ラジブ・ヴアサント・ジヨーシ
Choon-Sik Oh
チヨーン・シク・オー
Dan Moy
ダン・モイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH02230739A publication Critical patent/JPH02230739A/ja
Publication of JPH0736403B2 publication Critical patent/JPH0736403B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 A.産業上の利用分野 本発明は、半導体基板上に金属領域を形成するための付
着技術に関し、特に、耐火金属窒化物または珪化物障壁
層上の耐火金属の付着及びそれによって形成された半導
体デバイスに関する。
B.従来技衛 集積回路の小型化が進むにつれて、ソース・ドレイン・
ゲート及び接点金属における抵抗を低減する必要性が増
加している。近年、この目的を達成するために、金属珪
化物の使用に多くの努力が注がれている。しかし、デバ
イスの寸法が、垂直方向と水平方向の両方について小さ
くなってくるにつれて、珪化物は魅力を失ってきた。す
なわち、珪化物の本来的な抵抗率は、金属と比較して大
きく、一方、自己整合珪化物の形成には、形成される珪
化物の厚さに比例するシリコンが消費される。
この消費は、通常、接合の漏洩につながり、許容できな
いものである。米国特許第4701349号は、窒化チ
タンと珪化チタンの層からなる自己整合相互接続体を付
着するための方法に指向されている。窒化チタンのシー
ト抵抗は、15オーム/cm2のオーダーである。
また、珪化物の可能的な代替として耐火金属が開発され
ている。耐火金属は、抵抗が低いことと、比較的温度安
定性が高いことから、魅力的である。
さらに、近年の選択的化学気相付着(CVD)の開発に
より、タングステン及びモリブデンが、珪化物に置き換
えるべき主要な候補となってきた。
このCVD技術によれば、基板をCVD反応器に配置し
、その基板を加熱することにより、タングステン(W)
が基板領域上に付着される。すなわち、6弗化タングス
テン(WFa)及びアルゴン(Ar)または窒素(N2
)などの不活性ガスが反応器に供給され、すると6弗化
タングステンが次の反応式に従いシリコンと反応する。
2 W F s + 3 S i  → 2W+3S 
iF4タングステンの付着が停止し、追加の金属を付着
するために、6弗化タングステンとキャリア・ガスに水
素(H2)が追加される。6弗化タングステンは水素と
反応して、次の化学式に従い、所望の追加のタングステ
ンを付着させる。
W F s + 3 H 2  → W+6HFVLS
I適用技術のためにタングステンを選択的に付着させる
べく上述の水素還元処理を使用することは、付着処理に
内在する問題によって制限される。その問題とは、反応
中で発生されたHFの侵食によって、シリコン領域中に
、予想不可能なタングステンの深い貫通領域(ワーム・
ホーJいが生じる、ということである。さらに、二酸化
シリコンとシリコンの界面付近に沿って貫通するタング
ステンによって、侵入の問題が生じる。
さらにまた、タングステンのシリコンに対する接着性が
乏しいことからも問題が生じる。
IEDM (1987  IEEE)において、コタニ
らは、シラン(S i H4) 還元を用いたタングス
テンの選択的付着処理を開示する。コタニらは、低温低
圧CVD処理を使用するが、これは、タングステン層の
抵抗を約20uオーム・cmまで上昇させる望ましくな
い珪化物のピークの形成をもたらす。
IBM  Tech.  Disc.  Bull.1
986年2月において、Brodskyらによって、タ
ングステン被膜の接着性を高め、接触抵抗を低下させる
ために、障壁層を使用することが開示されている。これ
によれば、スパッタリング、電子ビーム蒸着葦たは低圧
CVDによるタングステンの付着の前に、スバ・ンタリ
ングによって窒化チタン層が付着される。
C.発明が解決しようとする問題点 この発明の目的は、接着性と抵抗率の低さにおいて優れ
た剛火金属接点の付着方法を提供することにある。
D.問題点を解決するための手段 この発明は、紹大金属の6弗化物のシラン還元を利用し
て、シリコン基板の露出されたシリコン表面上に耐火金
属層を選択的に付着することに指向されている。この付
着は、シラン/制火金属6弗化物ガスとの流量の比が、
1より小さいような高温CVDシステムで実行される。
この高い温度と低い流量比によって、17μオーム・a
mよりも抵抗率が小さいような剛大金属層の形成がもた
らされる。この処理は、約370℃から550℃の範囲
の温度で、CVD付着装置中で実施される。
別の実施例では、耐火金属弗化物のシラン還元処理を利
用して耐火金属の初期的な層が付着された後、シランの
代わりに水素が付着装置に導入され、すると、その水素
は、基板上にさらに選択的に耐火金属を付着させるよう
に、耐火金属弗化物と反応する。この追加的な水素還元
処理もまた、典型的には500℃以上である高温で実施
される。
電界効果トランジスタ(FET)金属化のために本発明
の処理を使用する場合、侵入を防止するために、シリコ
ンと耐火金罵の間に障壁層を導入することが望ましい。
この実施例では、耐火金属層の付着の前に、耐火金属障
壁層が付着される。
この障壁層は、耐火金属珪化物からなる単一の層であっ
てもよく、下位層の剣火金属珪化物と上位層の爾大金属
窒化物をもつ2M構造であってもよい。この障壁層は、
フォトリソグラフィック・マスクを使用する必要性がな
い自己整合処理を用いて付着される。これにおいては、
先ず、耐火金属のブランケット層が基板上に付着され、
基板は、シリコンと接触している箇所の金属を金属珪化
物に変換するためにアニールされる。そのときの未反応
金属が選択的化学エッチング剤を用いて除去される。そ
の後、プラズマを有し、またはプラズマを有さないアン
モニア雰囲気中でアニールすることにより、金属珪化物
の上面を金属窒化物層に変換する。障壁層の形成後、上
述のように、耐火金属層が付着される。
E.実施例 本発明に従うと、耐火金属弗化物のシラン還元の高gc
VD処理を利用して、シリコン基板上に耐火金属が選択
的に付着される。本発明の処理に従えば、シラン/耐火
金属弗化物の割合は、1より小さい。この発明の方法は
、半導体デバイスの接点またはヴアイアレベル間相互接
続としての耐火金属を付着するために利用することがで
きる。
第1図は、耐火金属接点を形成されてなるデバイス構造
を示す図である。このデバイス10は、シリコン基板1
2とソース領域14とドレイン領域16をもつMOS’
l界効果トランジスタである。
シリコン・ゲートif極18は、ゲート酸化N20及び
側壁二酸化シリコン・スペーサ22及び24をもつ典型
的なフォトリソグラフィック技街によって形成されてい
る。窪みをつけられた酸化分離領域26及び28は、こ
の構造10をチップ上の他のデバイスから分離する。耐
火金属は、ソース、ドレイン及びゲート領域上に選択的
に付着され、以て接点30、32及び34を形成する。
第1図に示されている実施例は、耐火金属層と下方のシ
リコンの間に障壁層36及び37を形成されてなる。障
壁層は、後述する自己整合処理によって形成される。
本発明の方法は、第2図に示す典型的なCVD装置中で
実施することができる。この装置40は、ターレット台
46上に基板試料44を配置されてなる付着チ工ンバ4
2をもつ。耐火金属弗化物のシラン還元のための処理に
従うと、シラン・ガス、SiHnがボート48を通って
チェンバ42に導入され、WF.などの、剛大金属弗化
物のガスがボート50を通ってチ工ンバ42に導入され
る。
S i H4は、WFaの導入の前または後のどちらに
チェンバに導入してもよいが、どちらの場合も、WF.
に対する比率は、1よりも小さく維持される。励起は、
高周波発生器52によって与えられ、赤外線センサ・ラ
ンプも与えられている。また、ボート64を通じてチェ
ンバ42を排気するために、高真空バルブ56と、スロ
ットル58と、送風器60と、ボンブ62が設けられて
いる。
接点及び層間接続体を形成するために適切な耐火金属と
して、タングステン、モリブデン及びタンタルがある。
本発明に適切である耐火金属弗化物として、5塩化物と
、6塩化物と、5弗化物と、6弗化物がある。本発明の
一実施例では、シランと6弗化タングステン・ガスをチ
ェンバ42に導入することによってタングステンが付瑞
され、このとき、それらのガスは、次の式に従い、露出
されたシリコン領域上に選択的にタングステンを付着す
るように反応する。
2WFs+3S i H4  → 2W+3 S i F4+ 6 82 6弗化タングステンのシラン還元は、優れた電気的特性
を与え、極めて高速の付着速度を呈する。
本願発明に従えば、シランのWF8に対する流量比は1
より小さく、好適には、約0.2乃至0.6である。さ
らに、CVD付着は、370℃乃至550℃の範囲とい
う比較的高いターレッHfffi度で実施される。好適
には、その五度は、430℃と480℃の間にある。
上記実施例では、シリコン基板上に付着されたタングス
テンの厚さ全体が、シラン還元処理によるものである。
第2の実施例では、シラン遷元処理によってタングステ
ン屡を付着した後、ボート48を通じて、シランの代わ
りに、H2が導入される。この水素は、次の式に従い、
タングステンをさらに付着させるために、wpsと反応
することになる。
W F a + 3 H 2  → W+6}{F水素
還元処理は、典型的には500℃以上、好適には550
℃という高いターレット温度で実施される。このとき、
HFの生成は、下層に対して危害を及ぼさない。という
のは、シラン還元処理によって付着された初期のタング
ステン層が保護を与えるからである。
爾火金属ハロゲン化物が、5塩化タンタルなどの5ハロ
ゲン化物であるとき、次の式に従い、露出シリコン表面
にタンタルが選択的に付着される。
4TaC 1s+5S i H4  →4 T a +
 5 S i C 1 4 +1 0 H 2タンタル
層の付着後水素を導入すると、次の式に従い水素がT 
a C 1 sと反応する。
2 T a C 1 s+ 5 HaO→2Ta+10
HCL本発明の別の実施例では、タングステンとシリコ
ンの間に、障壁層が挟みこまれる。この障壁層は、耐火
金属珪化物単独の形をとるか、耐火金属窒化物との結合
の形を取る。障壁層の形成のために、追加として貴金属
珪化物を使用することもで含る。
jR3aないし第3e図は、障壁層とその後のタングス
テン層の形成ステップを示す図である。第3a図に示す
ように、金属接点の形成準備のできた基板構造は、ソー
ス領域72とドレイン領域74をもつ基板70と、ポリ
シリコンのゲート領域76を有する。この構造はさらに
、ゲート酸化物領域80とゲート側壁スペーサ二酸化シ
リコン領域80及び82を含む。窪みをつけられた儲化
物絶縁領域84及び82も設けられている。障壁層形成
処理の第1のステップにおいては、純粋耐火金属の薄い
ブランケットFj88が、酌化物及びシリコン窓上に付
着される。
この障壁層を形成するために利用することのできる典型
的な耐火金属層は、チタン、二オブ、ジルコン、クロム
及びハフニウムである、典型的な貴金属は、コバルト及
びプラチナである。耐火金属珪化物を形成するための好
適な選択は、チタンである。T i S Lは、耐火金
属珪化物の中で最も低い抵抗率を有するからである。さ
らに、Tiは、その優れた酸素ゲッタリング能力のため
に、良好な還元性剤である。Tiは、シリコ・ンの本来
的な酸化物を溶かし、常に、アニールにより難無くTi
si2を形成する。接点へのシリコン拡散を停止させる
ために、T i S iaなとの障壁層がタングステン
とシリコンの間に配置されると、後の接点の完全性を保
持することができることが分がっている。
さらに、2つの耐火金属を組合せることによって、複合
珪化物層を形成することができる。例えば、上述の耐火
金属のうちの1つを、タングステンなどの他の金属と組
合せて、Ti−W−Si2という複合珪化物を形成する
ことができる。珪化物障壁層を形成するために、耐火金
属の任意の他の組合せも使用することができる。
多くの適用技術の場合、T i S i 2は、前述の
侵入及び貫通の問題をもたらすことなく、後の選択的付
着を生じさせるのに十分な障壁を与える。しかし、珪化
チタンの場合、珪化チタンとタングステンの間に追加の
チタン窒化物の障壁層を与えることにより、さらなる保
護が与えられ、W/ T i N/ T i S i 
g構造の接点抵抗がさらに低下されることが分かった。
チタンのブランケット層は、慣用的な気相化学付着など
の技術により、50乃至500オングストロームの厚さ
で、付着される。しかし、その層は、可能な限り薄い方
がよく、5o乃至200オングストロームのオーダーが
望ましい。
次に、第3b図に示すように、基板は、窒素雰囲気中で
30分約670℃でアニールされ、これにより領域72
、74及び76の下層のシリコンが層88のチタンと反
応して、領域90、92及び94において表面にTiN
xOyをもつT i S i sが形成される。二酸化
シリコン領域80、82及び84、86上のチタン層8
8は、珪化物を形成しないでTiNxOyを形成し、こ
れは選択的に除去することができる。このX及びyの値
は、0及び1の間の値をとることができる。
次に、第3c図に示すように、窪み酸化物領域84及び
86上と、側壁スペーサ80及び82上の不要なチタン
層88がエッチングによって選択的に除去される。この
ために、過酸化水素と硫酸タイプのエッチング溶液を使
用することができる。
このタイプのエッチング溶液は、珪化チタン層90、9
2及び94とは反応しない。700℃以上でアニールす
ると、酸化物領域上でTiSiOが形成され、これは、
弗化水素酸の水溶液によって除去するよりない。しかし
、もし初期のアニールが700℃以下で実施されたなら
、酸化物上での珪化物の形成はわずかであり、これは過
酸化水素と硫酸の溶液によって除去することができる。
その後、第3d図に示すように、窒素雰囲気中で30分
、約800℃またはそれ以上の温度でアニールが実施さ
れ、これにより、珪化チタンがT i S izに変換
されるとともに、珪化チタンの表面が窒化チタンに変換
される。TiNの厚さを増加させるために、TiSia
が、N2よりははるかに反応性に富むN H 3中でア
ニールされる。あるいは、N2中で30分間、675℃
で形成されたTiS102は、30分間、675℃での
N H 3アニールに直接さらしてもよい。こうして、
第1のアニールが行なわれるのと同一の温度を、NHs
のアニールに使用することができる。こうして、結果の
障壁層は、TiSi2からなる下位層90、92及び9
4と、TiNからなる上位層96、98及び100を有
する。高温度アニーリングの結果として、珪化チタン層
の抵抗がかなり低い値に低減される。この被膜のシート
抵抗は、約6乃至10オーム/cmaである。窒化チタ
ン層は珪化チタン層よりも大きいシート抵抗をもつけれ
ども、障壁層全体のシート抵抗は著しく低減される。こ
うして、フォトリソグラフィック・マスクを必要とする
ことなく、2F!障壁層が自己整合的な様式で形成され
る。第3゜e図に示すように、タングステンのような耐
火金圧の選択的付着が本発明の上記方法によって実施さ
れ、ソース、ドレイン及びゲート接点102、104及
び106が形成される。
タングステンを選択的に付着するための本発明のシラン
還元ステップにおいては、WF.とSiH4の流量は2
0乃至300SSCMの間の範囲にあり、このとき、S
 i H 4 / W F sの比が1より小さく、好
適には0.4乃至0.6という基準がある。上述の温度
は370℃乃至550℃の範囲にあり、圧力は、100
乃至500ミリ・トールの間である。水素還元ステップ
の場合、H2の流量は3000乃至4000SSCMで
あり、WF.の流量は200乃至300SSCMである
。このときの圧力は100乃至2000ミリ・トールで
あり、湛度は約550℃である。シラン還元のある特定
の実施例では、最初にWF.が流量200SSCMで導
入され、その後、S i H4が流ft90sSCMで
導入され、結果としてSiH4/WFsの比率は0.4
5であった。温度は480℃であり、圧力は200ミリ
・トールであった。近似的には、TiN/TiSi2障
壁層上に300ナノメータのタングステンが、約600
nm/分の成長速度で選択的に均一に付着された。シ一
ト[抗は0.3オーム/cmxであり、抵抗率は約9μ
オーム・Cmであった。
第2の例では、第1の例に従い100nmのタングステ
ンを付着した後、SiH4の流れが停止され、H2が4
000SSCmの流量で導入された。
温度は550℃まで増加され、圧力は400ミリ・トー
ルであった。この付着処理は、約30分実施され、40
nrn/分の成長速度でTiN上に均一に付着された約
1200nmのタングステンがもたらされた。そのシー
ト抵抗は、約0.065乃至O.OSオーム/cmxで
あり、抵抗は約8乃至9μ吻Cmであった。
第4図は、ターレット温度の関数としての、約150n
rnのタングステン層の抵抗率の変化を示す図である。
このとき、ターレット温度の理想的な範囲が約430℃
と480℃の間であることがわかった。というのは、そ
れより高い温度が付着の選択性を低下させ、それより低
い温度が、抵抗率の増加と接着性の低下と高い応力をも
たらすからである。このように、本発明の方法は、17
μオーム・cmより小さい抵抗率をもつ優れたタングス
テン被膜を与える。第5図は、接点のサイズが増加する
に従う接点抵抗の変化を示す。このときW/T i N
/T i S i2接点をもついくつかのダイオード接
合が形成された。第5図は、接点サイズが0.6μmか
ら1.0μmに増加するにつれて、接点抵抗が17オー
ムから10オームに減少する様子を示す。
第6図は、ターレット温度の関数としての応力を示す図
であり、これは、温度が下がるにつれて応力が著しく高
まることを示している。このように、良好な選択性をも
つ低応力被膜の形成の場合、付着処理は、370℃と5
50℃の間の広い範囲を含み、好適には430乃至48
0℃である。本発明に従う処理は、自己整合TiN/T
iSiz上にタングステンを付着し、これは、ゲート酸
化物の接合を悪化させることなくサブミクロン半導体デ
バイスのソース、ドレイン及びゲート接点の導電率を高
めるものである。さらに、タングステン付着工程の間に
、窒化層が、フッ素の侵食に対する障壁として働く。
F.発明の効果 以上説明したように、本願発明によれば、耐火金属弗化
物のシラン還元処理によって、半導体デバイスのための
、抵抗率が低い優れた接点が提供される。
【図面の簡単な説明】
第1図は、本発明の方法を用いて製造した耐火金属接点
をもつ集積回路チップ構造の断面図、第2図は、本発明
の方法を実施するための典型的な装置の図、 第3a乃至第3e図は、障壁層と耐火金属層を形成する
ための本発明の方法に従う製造処理を示す図、 第4図は、本発明の方法に従い形成された耐火金属被膜
の、ターレット温度対抵抗率の図、第5図は、本発明の
方法に従い形成された耐火金罵被膜の、接点サイズ対接
点抵抗の図、第6図は、本発明の方法に従い形成された
耐火金属被膜の、ターレットa度対応力の図である。 出願人 インターナシ5ナル・ビジネス・マシーンズ・
コーポレーシッン 代理人 弁理士 山本仁朗(他1名) FIG.{ FIG.3a クーレソト湿り拒  (0C) 接,乾サイス゛(ILm)

Claims (3)

    【特許請求の範囲】
  1. (1)表面に酸化領域を形成されたシリコン基板の露出
    表面上に耐火金属層を、付着装置中で選択的に付着する
    方法において、 上記付着装置中に、シラン・ガスと耐火金属弗化物のガ
    スの混合物を、該耐火金属弗化物に対する該シラン・ガ
    スの流量の割合が1より小さくなるように導入し、その
    際、付着温度は、上記ガスが上記露出基板上に17オー
    ム・cmよりも小さい抵抗率で上記耐火金属を選択的に
    付着させるように反応するのに十分高い温度である工程
    を有する、 耐火金属の付着方法。
  2. (2)表面に酸化領域を形成されたシリコン基板の露出
    表面上に耐火金属層を、付着装置中で選択的に付着する
    方法において、 (a)上記付着装置中に、シラン・ガスと耐火金属弗化
    物のガスの混合物を、それらのガスが上記露出シリコン
    表面上に第1の耐火金属層を選択的に付着させるように
    反応するように導入する工程と、(b)シランの導入を
    停止して、水素が耐火金属弗化物と反応して、上記露出
    シリコン表面上にさらに選択的に耐火金属を付着させる
    ように、上記付着装置中に上記耐火金属弗化物のガスと
    ともに水素を導入する工程を有する、 耐火金属の付着方法。
  3. (3)(a)ソース領域とドレイン領域とを離隔して形
    成されてなるシリコン基板と、 (b)上記ソース領域と上記ドレイン領域の間に配置さ
    れたシリコン・ゲート領域と、 (c)上記シリコン・ゲート領域と上記基板の間に配置
    されたゲート酸化物領域と、 (d)上記シリコン・ゲート領域に配置された側壁酸化
    物スペーサ領域と、 (e)上記ソース領域と上記ドレイン領域の対向側に配
    置されて上記ソース領域と上記ドレイン領域の表面を露
    出させる酸化物絶縁領域と、 (f)上記ソース領域と上記ドレイン領域上に配置され
    た金属珪化物を有する障壁層と、 (g)上記障壁層上に配置され、ソース、ドレイン、及
    びゲート接点を形成する耐火金属の層とを具備する、 電界効果トランジスタ。
JP1338901A 1989-01-06 1989-12-28 耐火金属の付着方法 Expired - Lifetime JPH0736403B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US29401489A 1989-01-06 1989-01-06
US294014 1989-01-06
US07/322,486 US5084417A (en) 1989-01-06 1989-03-13 Method for selective deposition of refractory metals on silicon substrates and device formed thereby
US322486 1989-03-13

Publications (2)

Publication Number Publication Date
JPH02230739A true JPH02230739A (ja) 1990-09-13
JPH0736403B2 JPH0736403B2 (ja) 1995-04-19

Family

ID=26968294

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1338901A Expired - Lifetime JPH0736403B2 (ja) 1989-01-06 1989-12-28 耐火金属の付着方法

Country Status (4)

Country Link
US (1) US5084417A (ja)
EP (1) EP0377137B1 (ja)
JP (1) JPH0736403B2 (ja)
DE (1) DE68926440T2 (ja)

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4998157A (en) * 1988-08-06 1991-03-05 Seiko Epson Corporation Ohmic contact to silicon substrate
US5221853A (en) * 1989-01-06 1993-06-22 International Business Machines Corporation MOSFET with a refractory metal film, a silicide film and a nitride film formed on and in contact with a source, drain and gate region
US5240505A (en) * 1989-08-03 1993-08-31 Mitsubishi Denki Kabushiki Kaisha Method of an apparatus for forming thin film for semiconductor device
JP2895166B2 (ja) * 1990-05-31 1999-05-24 キヤノン株式会社 半導体装置の製造方法
JPH05347272A (ja) * 1991-01-26 1993-12-27 Sharp Corp 半導体装置の製造方法
US5322812A (en) 1991-03-20 1994-06-21 Crosspoint Solutions, Inc. Improved method of fabricating antifuses in an integrated circuit device and resulting structure
US5188979A (en) * 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
US5278100A (en) * 1991-11-08 1994-01-11 Micron Technology, Inc. Chemical vapor deposition technique for depositing titanium silicide on semiconductor wafers
US5300813A (en) 1992-02-26 1994-04-05 International Business Machines Corporation Refractory metal capped low resistivity metal conductor lines and vias
US5252518A (en) * 1992-03-03 1993-10-12 Micron Technology, Inc. Method for forming a mixed phase TiN/TiSi film for semiconductor manufacture using metal organometallic precursors and organic silane
US5344792A (en) * 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US6498080B1 (en) * 1993-11-05 2002-12-24 Agere Systems Guardian Corp. Transistor fabrication method
US5485031A (en) * 1993-11-22 1996-01-16 Actel Corporation Antifuse structure suitable for VLSI application
US5403778A (en) * 1994-01-06 1995-04-04 Texas Instruments Incorporated Limited metal reaction for contact cleaning and improved metal-to-metal antifuse contact cleaning method
US5413957A (en) * 1994-01-24 1995-05-09 Goldstar Electron Co., Ltd. Method for fabricating MOS transistor having source/drain region of shallow junction and silicide film
US6200871B1 (en) * 1994-08-30 2001-03-13 Texas Instruments Incorporated High performance self-aligned silicide process for sub-half-micron semiconductor technologies
US5457069A (en) * 1994-08-31 1995-10-10 National Science Council Process for fabricating device having titanium-tungsten barrier layer and silicide layer contacted shallow junction simultaneously formed
KR100482235B1 (ko) 1995-01-31 2005-04-14 가부시끼가이샤 히다치 세이사꾸쇼 반도체 메모리 장치
US20020197838A1 (en) * 1996-01-16 2002-12-26 Sailesh Chittipeddi Transistor fabrication method
US5807788A (en) * 1996-11-20 1998-09-15 International Business Machines Corporation Method for selective deposition of refractory metal and device formed thereby
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5893741A (en) * 1997-02-07 1999-04-13 National Science Council Method for simultaneously forming local interconnect with silicided elevated source/drain MOSFET's
JP4101901B2 (ja) * 1997-04-25 2008-06-18 シャープ株式会社 半導体装置の製造方法
US6849557B1 (en) 1997-04-30 2005-02-01 Micron Technology, Inc. Undoped silicon dioxide as etch stop for selective etch of doped silicon dioxide
US6518176B2 (en) 1998-06-05 2003-02-11 Ted Guo Method of selective formation of a barrier layer for a contact level via
US6277758B1 (en) 1998-07-23 2001-08-21 Micron Technology, Inc. Method of etching doped silicon dioxide with selectivity to undoped silicon dioxide with a high density plasma etcher
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6107199A (en) * 1998-10-24 2000-08-22 International Business Machines Corporation Method for improving the morphology of refractory metal thin films
US6391767B1 (en) * 2000-02-11 2002-05-21 Advanced Micro Devices, Inc. Dual silicide process to reduce gate resistance
US6465887B1 (en) * 2000-05-03 2002-10-15 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with diffusion barrier and process for making same
US6989108B2 (en) * 2001-08-30 2006-01-24 Micron Technology, Inc. Etchant gas composition
KR100520837B1 (ko) * 2003-04-01 2005-10-13 삼성전자주식회사 반도체 소자의 제조방법
US7105429B2 (en) * 2004-03-10 2006-09-12 Freescale Semiconductor, Inc. Method of inhibiting metal silicide encroachment in a transistor
US7393746B2 (en) * 2006-10-12 2008-07-01 International Business Machines Corporation Post-silicide spacer removal
JP2008311457A (ja) * 2007-06-15 2008-12-25 Renesas Technology Corp 半導体装置の製造方法
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9159739B2 (en) 2010-06-30 2015-10-13 Sandisk Technologies Inc. Floating gate ultrahigh density vertical NAND flash memory
US8928061B2 (en) 2010-06-30 2015-01-06 SanDisk Technologies, Inc. Three dimensional NAND device with silicide containing floating gates
US9397093B2 (en) 2013-02-08 2016-07-19 Sandisk Technologies Inc. Three dimensional NAND device with semiconductor, metal or silicide floating gates and method of making thereof
US9099496B2 (en) 2013-04-01 2015-08-04 Sandisk Technologies Inc. Method of forming an active area with floating gate negative offset profile in FG NAND memory
US9252151B2 (en) 2013-07-08 2016-02-02 Sandisk Technologies Inc. Three dimensional NAND device with birds beak containing floating gates and method of making thereof
US9496274B2 (en) 2013-09-17 2016-11-15 Sandisk Technologies Llc Three-dimensional non-volatile memory device
US9768270B2 (en) 2014-06-25 2017-09-19 Sandisk Technologies Llc Method of selectively depositing floating gate material in a memory device
US9379124B2 (en) 2014-06-25 2016-06-28 Sandisk Technologies Inc. Vertical floating gate NAND with selectively deposited ALD metal films
US9305932B2 (en) 2014-06-30 2016-04-05 Sandisk Technologies Inc. Methods of making three dimensional NAND devices
US9397107B2 (en) 2014-06-30 2016-07-19 Sandisk Technologies Llc Methods of making three dimensional NAND devices
US9356031B2 (en) 2014-08-11 2016-05-31 Sandisk Technologies Inc. Three dimensional NAND string memory devices with voids enclosed between control gate electrodes
US9136130B1 (en) 2014-08-11 2015-09-15 Sandisk Technologies Inc. Three dimensional NAND string with discrete charge trap segments
WO2016028621A1 (en) 2014-08-20 2016-02-25 Sandisk Technologies Inc. Floating gate ultrahigh density vertical nand flash memory and method of making thereof
US9230983B1 (en) 2014-08-20 2016-01-05 Sandisk Technologies Inc. Metal word lines for three dimensional memory devices
US9576975B2 (en) 2014-08-26 2017-02-21 Sandisk Technologies Llc Monolithic three-dimensional NAND strings and methods of fabrication thereof
US9230974B1 (en) 2014-08-26 2016-01-05 Sandisk Technologies Inc. Methods of selective removal of blocking dielectric in NAND memory strings
US9236396B1 (en) 2014-11-12 2016-01-12 Sandisk Technologies Inc. Three dimensional NAND device and method of making thereof
US9305849B1 (en) 2014-11-12 2016-04-05 Sandisk Technologies Inc. Method of making a three dimensional NAND device
US9698152B2 (en) 2014-11-13 2017-07-04 Sandisk Technologies Llc Three-dimensional memory structure with multi-component contact via structure and method of making thereof
US9496419B2 (en) 2014-11-25 2016-11-15 Sandisk Technologies Llc Ruthenium nucleation layer for control gate electrodes in a memory structure
US9570455B2 (en) 2014-11-25 2017-02-14 Sandisk Technologies Llc Metal word lines for three dimensional memory devices
US9698223B2 (en) 2014-11-25 2017-07-04 Sandisk Technologies Llc Memory device containing stress-tunable control gate electrodes
US9754956B2 (en) 2014-12-04 2017-09-05 Sandisk Technologies Llc Uniform thickness blocking dielectric portions in a three-dimensional memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9984963B2 (en) 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9780182B2 (en) 2015-02-04 2017-10-03 Sandisk Technologies Llc Molybdenum-containing conductive layers for control gate electrodes in a memory structure
US9530788B2 (en) 2015-03-17 2016-12-27 Sandisk Technologies Llc Metallic etch stop layer in a three-dimensional memory structure
US9601508B2 (en) 2015-04-27 2017-03-21 Sandisk Technologies Llc Blocking oxide in memory opening integration scheme for three-dimensional memory structure
US9397046B1 (en) 2015-04-29 2016-07-19 Sandisk Technologies Llc Fluorine-free word lines for three-dimensional memory devices
US10199230B2 (en) 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US9419012B1 (en) 2015-06-19 2016-08-16 Sandisk Technologies Llc Three-dimensional memory structure employing air gap isolation
US10622368B2 (en) 2015-06-24 2020-04-14 Sandisk Technologies Llc Three-dimensional memory device with semicircular metal-semiconductor alloy floating gate electrodes and methods of making thereof
US9576966B1 (en) 2015-09-21 2017-02-21 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US9646975B2 (en) 2015-09-21 2017-05-09 Sandisk Technologies Llc Lateral stack of cobalt and a cobalt-semiconductor alloy for control gate electrodes in a memory structure
US9806089B2 (en) 2015-09-21 2017-10-31 Sandisk Technologies Llc Method of making self-assembling floating gate electrodes for a three-dimensional memory device
US9659955B1 (en) 2015-10-28 2017-05-23 Sandisk Technologies Llc Crystalinity-dependent aluminum oxide etching for self-aligned blocking dielectric in a memory structure
US9793139B2 (en) 2015-10-29 2017-10-17 Sandisk Technologies Llc Robust nucleation layers for enhanced fluorine protection and stress reduction in 3D NAND word lines
US9589839B1 (en) 2016-02-01 2017-03-07 Sandisk Technologies Llc Method of reducing control gate electrode curvature in three-dimensional memory devices
US9812463B2 (en) 2016-03-25 2017-11-07 Sandisk Technologies Llc Three-dimensional memory device containing vertically isolated charge storage regions and method of making thereof
US9711530B1 (en) 2016-03-25 2017-07-18 Sandisk Technologies Llc Locally-trap-characteristic-enhanced charge trap layer for three-dimensional memory structures
US10361213B2 (en) 2016-06-28 2019-07-23 Sandisk Technologies Llc Three dimensional memory device containing multilayer wordline barrier films and method of making thereof
US10355139B2 (en) 2016-06-28 2019-07-16 Sandisk Technologies Llc Three-dimensional memory device with amorphous barrier layer and method of making thereof
US9659866B1 (en) 2016-07-08 2017-05-23 Sandisk Technologies Llc Three-dimensional memory structures with low source line resistance
US10381372B2 (en) 2016-07-13 2019-08-13 Sandisk Technologies Llc Selective tungsten growth for word lines of a three-dimensional memory device
US10529620B2 (en) 2016-07-13 2020-01-07 Sandisk Technologies Llc Three-dimensional memory device containing word lines formed by selective tungsten growth on nucleation controlling surfaces and methods of manufacturing the same
US9991277B1 (en) 2016-11-28 2018-06-05 Sandisk Technologies Llc Three-dimensional memory device with discrete self-aligned charge storage elements and method of making thereof
US10115735B2 (en) 2017-02-24 2018-10-30 Sandisk Technologies Llc Semiconductor device containing multilayer titanium nitride diffusion barrier and method of making thereof
US9960180B1 (en) 2017-03-27 2018-05-01 Sandisk Technologies Llc Three-dimensional memory device with partially discrete charge storage regions and method of making thereof
US10438964B2 (en) 2017-06-26 2019-10-08 Sandisk Technologies Llc Three-dimensional memory device having direct source contact and metal oxide blocking dielectric and method of making thereof
US10229931B1 (en) 2017-12-05 2019-03-12 Sandisk Technologies Llc Three-dimensional memory device containing fluorine-free tungsten—word lines and methods of manufacturing the same
US10186551B1 (en) 2018-01-08 2019-01-22 Spin Transfer Technologies, Inc. Buried tap for a vertical transistor used with a perpendicular magnetic tunnel junction (PMTJ)
US10615123B2 (en) 2018-03-14 2020-04-07 Sandisk Technologies Llc Three-dimensional memory device containing compositionally graded word line diffusion barrier layer for and methods of forming the same
US10439046B1 (en) 2018-03-15 2019-10-08 International Business Machines Corporation Structure and method for improving access resistance in U-channel ETSOI
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
US11527623B2 (en) * 2020-07-28 2022-12-13 Micron Technology, Inc. Integrated assemblies and methods of forming integrated assemblies

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5972132A (ja) * 1982-10-19 1984-04-24 Toshiba Corp 金属及び金属シリサイド膜の形成方法
JPS60201649A (ja) * 1984-03-27 1985-10-12 Fujitsu Ltd 半導体装置及びその製造方法
JPS61248446A (ja) * 1985-04-25 1986-11-05 Fujitsu Ltd 半導体装置
JPS63246872A (ja) * 1987-04-02 1988-10-13 Toshiba Corp 半導体装置の製造方法
JPS6417866A (en) * 1987-07-10 1989-01-20 Toshiba Corp Formation of film of high-melting-point metal
JPH01101626A (ja) * 1987-10-15 1989-04-19 Anelva Corp タングステンの選択成長方法
JPH01206623A (ja) * 1988-02-15 1989-08-18 Toshiba Corp 半導体装置の製造方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1399163A (en) * 1972-11-08 1975-06-25 Ferranti Ltd Methods of manufacturing semiconductor devices
DE3141567C2 (de) * 1981-10-20 1986-02-06 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen von aus Tantal, Wolfram oder Molybdän bestehenden Schichten bei niedrigen Temperaturen und Verwendung dieser Schichten
US4565157A (en) * 1983-03-29 1986-01-21 Genus, Inc. Method and apparatus for deposition of tungsten silicides
US4640004A (en) * 1984-04-13 1987-02-03 Fairchild Camera & Instrument Corp. Method and structure for inhibiting dopant out-diffusion
JPS61137367A (ja) * 1984-12-10 1986-06-25 Hitachi Ltd 半導体集積回路装置の製造方法
JPS61221376A (ja) * 1985-03-27 1986-10-01 Hitachi Ltd 金属薄膜形成方法
US4617087A (en) * 1985-09-27 1986-10-14 International Business Machines Corporation Method for differential selective deposition of metal for fabricating metal contacts in integrated semiconductor circuits
EP0254651B1 (en) * 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
EP0305143B1 (en) * 1987-08-24 1993-12-08 Fujitsu Limited Method of selectively forming a conductor layer
NL8800221A (nl) * 1988-01-29 1989-08-16 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5972132A (ja) * 1982-10-19 1984-04-24 Toshiba Corp 金属及び金属シリサイド膜の形成方法
JPS60201649A (ja) * 1984-03-27 1985-10-12 Fujitsu Ltd 半導体装置及びその製造方法
JPS61248446A (ja) * 1985-04-25 1986-11-05 Fujitsu Ltd 半導体装置
JPS63246872A (ja) * 1987-04-02 1988-10-13 Toshiba Corp 半導体装置の製造方法
JPS6417866A (en) * 1987-07-10 1989-01-20 Toshiba Corp Formation of film of high-melting-point metal
JPH01101626A (ja) * 1987-10-15 1989-04-19 Anelva Corp タングステンの選択成長方法
JPH01206623A (ja) * 1988-02-15 1989-08-18 Toshiba Corp 半導体装置の製造方法

Also Published As

Publication number Publication date
JPH0736403B2 (ja) 1995-04-19
EP0377137A1 (en) 1990-07-11
DE68926440T2 (de) 1996-11-07
DE68926440D1 (de) 1996-06-13
US5084417A (en) 1992-01-28
EP0377137B1 (en) 1996-05-08

Similar Documents

Publication Publication Date Title
JPH02230739A (ja) 耐火金属の付着方法
US5221853A (en) MOSFET with a refractory metal film, a silicide film and a nitride film formed on and in contact with a source, drain and gate region
JP2978748B2 (ja) 半導体装置の製造方法
US4378628A (en) Cobalt silicide metallization for semiconductor integrated circuits
US5397744A (en) Aluminum metallization method
JPH11233451A (ja) 安定した低抵抗のポリ・メタル・ゲート電極を製造するためのcvdに基くプロセス
US5202287A (en) Method for a two step selective deposition of refractory metals utilizing SiH4 reduction and H2 reduction
JP2002543610A (ja) SiCの除去法
JPH08274047A (ja) 半導体装置の製造方法
JPH0244144B2 (ja)
US6277736B1 (en) Method for forming gate
JPH0794731A (ja) 半導体装置及びその製造方法
JPH0464226A (ja) 金属フッ化膜を備えた電子装置
JPH01165172A (ja) 薄膜トランジスターの製造方法
US6579614B2 (en) Structure having refractory metal film on a substrate
US6087259A (en) Method for forming bit lines of semiconductor devices
US4752815A (en) Method of fabricating a Schottky barrier field effect transistor
JP3238437B2 (ja) 半導体装置およびその製造方法
JP3033525B2 (ja) 半導体装置の製造方法
JP3258934B2 (ja) セルフ・アライン・ケイ化物の改良された製造方法
JPH06120355A (ja) 半導体装置の製造方法
JPH05166752A (ja) チタンシリサイド層の形成方法
JPH0232537A (ja) 半導体装置の製造方法
KR100304688B1 (ko) 반도체장치의제조방법
JPH11145078A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080419

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080419

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090419

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090419

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100419

Year of fee payment: 15

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100419

Year of fee payment: 15