JP7431250B2 - マルチ深度光学デバイスのパターニング - Google Patents

マルチ深度光学デバイスのパターニング Download PDF

Info

Publication number
JP7431250B2
JP7431250B2 JP2021559629A JP2021559629A JP7431250B2 JP 7431250 B2 JP7431250 B2 JP 7431250B2 JP 2021559629 A JP2021559629 A JP 2021559629A JP 2021559629 A JP2021559629 A JP 2021559629A JP 7431250 B2 JP7431250 B2 JP 7431250B2
Authority
JP
Japan
Prior art keywords
layer
substrate
structures
device layer
depth
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021559629A
Other languages
English (en)
Other versions
JP2022526635A (ja
Inventor
ルドヴィーク ゴデット,
ジエン アン チェン,
ブライアン アレクサンダー コーエン,
ウェイン マクミラン,
イアン マシュー マクマッキン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022526635A publication Critical patent/JP2022526635A/ja
Priority to JP2023203548A priority Critical patent/JP2024026240A/ja
Application granted granted Critical
Publication of JP7431250B2 publication Critical patent/JP7431250B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/12Optical coatings produced by application to, or surface treatment of, optical elements by surface treatment, e.g. by irradiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/02Diffusing elements; Afocal elements
    • G02B5/0268Diffusing elements; Afocal elements characterized by the fabrication or manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/34Optical coupling means utilising prism or grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12083Constructional arrangements
    • G02B2006/12107Grating
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12173Masking
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12166Manufacturing methods
    • G02B2006/12176Etching
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/18Diffraction gratings
    • G02B5/1847Manufacturing methods
    • G02B5/1857Manufacturing methods using exposure or etching means, e.g. holography, photolithography, exposure to electron or ion beams

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Optical Integrated Circuits (AREA)
  • Diffracting Gratings Or Hologram Optical Elements (AREA)
  • Drying Of Semiconductors (AREA)

Description

[0001]本開示の実施形態は、概して、光学デバイスに関する。より具体的には、本明細書に記載された実施形態は、光学デバイスの製造のためのマルチ深度層のパターニングを提供する。
[0002]光学デバイスは、基板上に形成される光学デバイスの構造体の構造パラメータ(例えば、形状、サイズ、配向)を空間的に変化させることによって光の伝搬を操作するために使用され得る。光学デバイスは、必要に応じて光波面を成形するような、空間的に変化する光学応答を提供する。光学デバイスのこれらの構造は、局所化された位相の不連続性(すなわち、光の波長よりも小さい距離にわたる位相の急激な変化)を誘起することによって、光の伝搬を変化させる。これらの構造は、基板上の種々のタイプの材料、形状、又は構成から構成されてもよく、種々の物理的原理に基づいて動作し得る。
[0003]光学デバイスを製造するには、基板上に配置されたデバイス層から構造体を形成する必要がある。しかしながら、製造される光学デバイスに求められる特性によって、構造体が様々な深度を有することが必要となる場合がある。様々な深度を有する構造を形成するためには、基板上に隣接して配置された複数の層をパターニングすることが必要となり得る。したがって、当該技術分野では、光学デバイスの製造のためのマルチ深度層のパターニングのための方法が必要とされている。
[0004]光学デバイスの製造のためのマルチ深度層のパターニングのための方法が提供される。一実施形態では、基板の上面の上に配置されたデバイス層の上にレジスト層を配置することであって、デバイス層が、基板の上面から第1の高さを有する第1の部分、及び基板の上面から第2の高さを有する第2の部分を有する、レジスト層を配置することと、レジスト層をパターニングして、デバイス層の第1の部分の上に、複数の第1の開口が形成された第1のレジスト層パターンを形成し、かつデバイス層の第2の部分の上に、複数の第2の開口が形成された第2のレジスト層パターンを形成することと、複数の第1の開口及び複数の第2の開口によって画定されたデバイス層の露出部分をエッチングすることとを含む方法であって、第1のレジスト層パターンにおける複数の第1の開口が、光学デバイス内に複数の第1の構造体の少なくとも一部を形成するように構成され、第1の構造体が、基板の上面に対して第1の深度を有し、第2のレジスト層パターンにおける複数の第2の開口が、光学デバイス内に複数の第2の構造体の少なくとも一部を形成するように構成され、第2の構造体が、基板の上面に対して第2の深度を有する、方法が提供されている。
[0005]別の実施形態では、基板の上面の上に配置されたデバイス層の上にハードマスクを配置することであって、デバイス層が、基板の上面から第1の高さを有する第1の部分、及び基板の上面から第2の高さを有する第2の部分を有する、ハードマスクを配置することと、ハードマスクの上にレジスト層を配置することであって、レジスト層が、基板の上面に対して平行な上面を有する、レジスト層を配置することと、レジスト層をパターニングして、デバイス層の第1の部分の上に、複数の第1の開口が形成された第1のレジスト層パターンを形成し、かつデバイス層の第2の部分の上に、複数の第2の開口が形成された第2のレジスト層パターンを形成することと、複数の第1の開口及び複数の第2の開口によって画定されたハードマスクの露出部分をエッチングして、デバイス層の第1の部分のマスクされていない第1のデバイス層セグメントを露出させ、かつデバイス層の第2の部分のマスクされていない第2のデバイス層セグメントを露出させることと、第1のデバイス層セグメント及び第2のデバイス層セグメントをエッチングすることとを含む方法であって、第1のデバイス層セグメントが、光学デバイス内に複数の第1の構造体の少なくとも一部を形成するように構成され、第1の構造体が、基板の上面に対して第1の深度を有し、第2のデバイス層セグメントが、光学デバイス内に複数の第2の構造体の少なくとも一部を形成するように構成され、第2の構造体が、基板の上面に対して第2の深度を有する、方法が提供されている。
[0006]さらに別の実施形態では、基板の上面の上に配置されたデバイス層の上に平坦化層を配置することであって、デバイス層が、基板の上面から第1の高さを有する第1の部分、及び基板の上面から第2の高さを有する第2の部分を有する、平坦化層を配置することと、平坦化層の上にレジスト層を配置することと、レジスト層をパターニングして、デバイス層の第1の部分の上に、複数の第1の開口が形成された第1のレジスト層パターンを形成し、かつデバイス層の第2の部分の上に、複数の第2の開口が形成された第2のレジスト層パターンを形成することと、複数の第1の開口及び複数の第2の開口によって画定された平坦化層の露出部分をエッチングして、デバイス層の第1の部分のマスクされていない第1のデバイス層セグメントを露出させ、かつデバイス層の第2の部分のマスクされていない第2のデバイス層セグメントを露出させることと、第1のデバイス層セグメント及び第2のデバイス層セグメントをエッチングすることとを含む方法であって、第1のデバイス層セグメントが、光学デバイス内に複数の第1の構造体の少なくとも一部を形成するように構成され、第1の構造体が、基板の上面に対して第1の深度を有し、第2のデバイス層セグメントが、光学デバイス内に少なくとも複数の第2の構造体を形成するように構成され、第2の構造体が、基板の上面に対して第2の深度を有する、方法が提供されている。
[0007]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかし、添付図面は例示的な実施形態のみを示すものであり、したがって、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。
一実施形態に係る、光学デバイスの概略斜視図である。 図1Aの光学デバイスの概略断面図である。 一実施形態に係る、光学デバイスの概略斜視図である。 図2Aの光学デバイスの概略断面図である。 一実施形態に係る、光学デバイスを形成する方法のフロー図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成するための方法のフロー図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成するための方法のフロー図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。 一実施形態に係る、光学デバイスを形成する方法の間の基板の概略断面図である。
[0018]理解を容易にするために、図に共通する同一の要素を指し示すために、可能な場合には、同一の参照番号を使用した。一実施形態の要素及び構造は、さらなる記述がなくても、他の実施形態に有益に組み込まれ得ると考えられる。
[0019]本開示の実施形態は、光学デバイスの製造のためのマルチ深度層のパターニングに関する。
[0020]図1Aは、光学デバイス100の概略斜視図である。一実施形態では、光学デバイス100は、導波結合器、例えば、拡張現実導波結合器である。後述する光学デバイス100は、例示的な導波結合器であることを理解されたい。光学デバイス100は、基板101、複数の構造体103によって画定された第1の格子102、及び複数の構造体106によって画定された第2の格子105を含む。一実施形態では、構造体103及び構造体106は、サブミクロンの臨界寸法(例えば、ナノサイズの臨界寸法)を有するナノ構造体である。
[0021]図1Bは、図1Aの光学デバイス100の概略断面図である。光学デバイス100は、2つ以上の深度を有する複数の構造体103を含む。図1Bに示すように、複数の第1の構造体114は、基板101の上面118への第1の深度116を有し、複数の第2の構造体115は、基板101の上面118への第2の深度117を有する。第1の深度116と第2の深度117は異なる。例えば、図1Bに示されるように、第1の深度116は、第2の深度117より浅い。一実施形態では、構造体103は、基板101の上面118に対して一定の角度をなしている。別の実施形態では、構造体103は、基板101の上面118に対して垂直である。
[0022]図2Aは、本明細書に記載された実施形態に係る、1つ又は複数の構造体203を有する光学デバイス200の概略斜視図である。幾つかの実施形態では、光学デバイス200は、メタサーフェスなどの平坦な光学デバイスである。1つ又は複数の構造体203が、基板101上に配置される。図2Aは、正方形又は長方形の断面を有するものとして1つ又は複数の構造体203を示しているが、1つ又は複数の構造体203の断面は、円形、三角形、及び/又は不規則な形状の断面を含む他の形状を有し得るが、これらに限定されない。一実施形態では、構造体203は、サブミクロンの臨界寸法(例えば、ナノサイズの臨界寸法)を有するナノ構造体である。
[0023]図2Bは、図2Aの光学デバイス200の概略断面図である。光学デバイス200は、1つ又は複数の構造体203、例えば、基板101上に配置された1つ又は複数の第1の構造体214及び1つ又は複数の第2の構造215を含む。1つ又は複数の第1の構造体214は、基板101の上面118への第1の深度216を有する。1つ又は複数の第2の構造体215は、基板101の上面188への第2の深度217を有する。第1の深度216と第2の深度217は異なる。例えば、図2Bに示すように、第1の深度216は、第2の深度217より浅い。一実施形態では、構造体203は、基板101の上面118に対して一定の角度をなしている。別の実施形態では、構造体203は、基板101の上面118に対して垂直である。
[0024]基板101は、所望の波長又は波長範囲(例えば、赤外領域からUV領域の(すなわち、約700から約1500ナノメートル)の1つ又は複数の波長)の適切な量の光を透過するように選択され得る。限定するものではないが、幾つかの実施態様では、基板101は、光スペクトルのUV領域に対して約50%、60%、70%、80%、90%、95%、99%以上透過性であるように構成されている。基板101が、所望の波長又は波長範囲の光を適切に透過することでき、光学デバイスのための適切な支持体としての役割を果たすことができる限り、基板101を任意の適切な材料から形成してもよい。幾つかの実施形態では、基板101の材料は、デバイス材料の屈折率と比較して、相対的に低い屈折率を有する。基板の選択には、非晶質誘電体、結晶性誘電体、酸化ケイ素、ポリマー、及びそれらの組み合わせを含む任意の適切な材料の基板が含まれてもよいが、これらに限定されない。幾つかの実施形態では、基板101は、透明材料を含む。一実施形態では、基板101は、0.001より小さい吸収係数を有して透明である。適切な実施例には、酸化物、硫化物、リン化物、テルル化物、又はこれらの組み合わせが含まれ得る。
[0025]幾つかの実施形態では、本明細書に記載の光学デバイス100、200は、基板101において配置された、第1の深度116、216を有する第1の構造体114、214、及び第2の深度117、217を有する第2の構造体115、215を含む。光学デバイス100、200を形成するための方法300、500、及び700の本明細書に記載された実施形態では、基板101は、第1の高さ404及び第2の高さ405(図4Aに図示)を有する。第1の高さ404は、形成される第1の構造体114、214の第1の深度116、216に対応し、第2の高さ405は、基板101に形成される複数の第2の構造115、215の第2の深度117、217に対応する。
[0026]他の実施形態では、本明細書に記載された光学デバイス100、200は、基板101上に配置された、第1の深度116、216を有する第1の構造体114、214、及び第2の深度117、217を有する第2の構造115、215を含む。第1の構造体114、214及び第2の構造115、215は、デバイス層408から形成される。方法300、500、及び700の前に、デバイス層408は、第1の高さ411及び第2の高さ412を有する。第1の高さ411は、形成される第1の構造体114、214の第1の深度116、216に対応し、第2の高さ412は、形成される複数の第2の構造体115、215の第2の深度117、217に対応する。
[0027]図3は、本明細書に記載の実施形態に係る、光学デバイス100、200の一部を形成するための方法300のフロー図である。図4Aから図4Eは、一実施形態に係る、光学デバイス100又は光学デバイス200の一部を形成する方法の間の、基板101の概略断面図である。この部分は、第1の格子102又は第2の格子105のような1つの格子に対応してもよく、又はこの部分は、光学デバイス100又は光学デバイス200の全体に対応してもよい。
[0028]図4Aは、レジスト層407が基板101上に配置される実施形態を示す。一実施形態では、基板101がパターニングされ、基板101は、様々な高さの第1の構造体114、214及び第2の構造115、215を達成するために、第1の高さ404及び第2の高さ405を有する。図4Bは、レジスト層407がデバイス層408上に配置され、デバイス層408が基板101の上面118上に配置される実施形態を示す。一実施形態では、デバイス層408がパターニングされ、デバイス層は、様々な高さの第1の構造体114、214及び第2の構造体115、215を達成するために、第1の高さ411及び第2の高さ412を有する。
[0029]一実施形態では、レジスト層407は、ケイ素含有材料を含む。別の実施形態では、レジスト層407は、有機材料を含む。レジスト層407は、任意の適切な方法(例えば、液体材料注入キャスティング処理、スピンオンコーティング処理、液体スプレーコーティング処理、ドライパウダーコーティング処理、スクリーン印刷処理、ドクターブレーディング処理、物理気相堆積(PVD)処理、化学気相堆積(CVD)処理、流動性CVD(FCVD)処理、又は原子層堆積(ALD)処理)を通して、表面101上に堆積される。エッチングプロセスと、その結果として生じる複数の構造体103の臨界寸法とを調整するために、レジスト層407の厚さが選択される。例えば、レジスト層407の厚さがより大きくなった結果、複数の構造体103の臨界寸法がより小さくなる場合がある。一実施形態では、レジスト層407の厚さは、約50nmから約300nmの範囲である。一実施形態では、レジスト層407は、第1の厚さ409及び第2の厚さ410を含む。第1の厚さ409は、第2の厚さ410よりも大きくても又は小さくてもよい。第1の厚さ409により、第1の構造体114、214が、第1の深度116、216を有することになる。第2の厚さ410により、第2の構造体115、215が、第2の深度117、217を有することになる。
[0030]一実施形態によれば、デバイス層408は、二酸化チタン(TiO)、酸化亜鉛(ZnO)、二酸化スズ(SnO)、アルミニウムでドープされた酸化亜鉛(AZO)、フッ素でドープされた酸化スズ(FTO)、スズ酸カドミウム(酸化スズ)(CTO)、酸化ニオブ(Nb)、スズ酸亜鉛(酸化スズ)(SnZnO)、窒化ケイ素(Si)、及びアモルファスシリコン(a-Si)含有材料のうちの1つ又は複数を含むが、これらに限定されない。一実施形態では、デバイス層408の高さは、約20nmから約200nmの範囲である。図3に示すように、レジスト層407は、ステップ301では、デバイス層408上に配置される。デバイス層408は、基板の表面から第1の高さ411を有する第1の部分424、及び基板の表面から第2の高さ412を有する第2の部分425を含む。第1の高さ411は、形成される第1の構造体114、214に対応し、第2の高さ412は、形成される第2の構造115、215に対応する。
[0031]図4Aの実施形態は、1:1のエッチング率を示しており、ここでは、レジスト層407が、デバイス層408とほぼ同じ率でエッチングされる図4Dの実施形態は、1:1以外のエッチング率を示す。ステップ301では、図4Aに示すように、レジスト層407が、デバイス層408の上に配置され、デバイス層408は、形成される複数の第1の構造体114、214及び複数の第2の構造115、215に対応する。一実施形態では、図4Bに示すように、レジスト層407が、基板101の上に配置される。
[0032]ステップ302では、図4C及び4Dに示されるように、デバイス層408のマスクされていない部分を露出させるために、レジスト層407がパターニングされる。レジスト層407をパターニングすることは、デバイス層408の第1の部分424の上に、複数の第1の開口420が形成される第1のレジスト層パターン422を形成し、かつデバイス層408の第2の部分425の上に、複数の第2の開口421が形成される第2のレジスト層パターン423を形成することを含む。レジスト層407は、ナノインプリントリソグラフィ、光インプリントリソグラフィ、又はデバイス層408のマスクされていない部分を露出させる任意の適切なプロセスを含むプロセスによってパターニングされてもよいが、これらに限定されない。図4Dに示す実施形態では、レジスト層407がパターニングされた後に残留層413が残る。一実施形態では、残留層413は、ドライエッチプロセスを通じて除去される。
[0033]図4Dは、エッチング停止層406が基板101上に配置された一実施形態を示している。エッチング停止層406は、基板101内へのオーバーエッチングを防止する。エッチング停止層406は、PVD、CVD、プラズマ化学気相堆積(PECVD)、FCVD、ALD、及びスピンオンプロセスのうちの1つよって配置され得る。エッチング停止層406が本明細書に記載されたエッチングプロセスに対して耐性がある限り、エッチング停止層406は、任意の適切な材料から形成される。一実施形態では、エッチング停止層406は、窒素含有材料を含む。一実施形態では、エッチング停止層406は、導波結合器が形成された後に除去される非透明エッチング停止層である。別の実施形態では、エッチング停止層406は、透明エッチング停止層である。エッチング停止層406は、約5nmから約50nmの深度を有する。本明細書にはエッチング停止層406が示されているが、エッチング停止層406がない1つ又は複数の実施形態が意図される。
[0034]ステップ303では、図4C及び図4Dに示すように、複数の第1の開口420及び複数の第2の開口421によって画定されたデバイス層408の露出部分がエッチングされて、複数の第1の構造体114、214及び複数の第2の構造体115、215が形成される。第1のレジスト層パターン422における複数の第1の開口420は、光学デバイス100、200において複数の第1の構造体114、214の少なくとも一部を形成するように構成されている。第2のレジスト層パターン423における複数の第2の開口421は、光学デバイス100、200内に複数の第2の構造体115、215の少なくとも一部を形成するように構成されている。エッチングプロセスには、イオン注入、イオンビームエッチング(IBE)、反応性イオンエッチング(RIE)、方向性RIE、プラズマエッチング、及び熱原子層エッチングのうちの少なくとも1つが含まれ得るが、これらに限定されない。幾つかの実施形態では、エッチングプロセスは、酸化性エッチング化学物質を利用する。他の実施形態では、エッチングプロセスは、還元性エッチング化学物質を利用する。一実施形態では、エッチングプロセスは、1つ又は複数の酸素、窒素ガス(N)、SiO、塩素、及びアンモニア(NH)含有ガスを利用する。別の実施形態では、フッ素含有ガス、例えば、フルオロメタン(CHF)、六フッ化硫黄(SF)、テトラフルオロメタン(CF)、フルオロホルム(CHF)、及び三フッ化窒素(NF)がエッチャントとして使用される。フッ素含有ガスは、メタン含有ガス、例えば、メタン(CH)及びジクロロジフルオロメタン(CCl)と共に処理環境へ任意に供給される。
[0035]図4Eは、デバイス層408に形成された第1の構造体114、214及び第2の構造体115、215を示しているが、ステップ301から303は、基板101のパターニングに適用可能である。一実施形態では、図3及び4Dに示されるように、デバイス層408は、エッチングプロセスによってエッチングされ、デバイス層408の一部が除去され、ステップ303では、第1の構造体114、214及び第2の構造体115、215が形成される。別の実施形態では、基板101は、エッチングプロセスによってエッチングされ、基板101の一部が除去され、ステップ303では、第1の構造体114、214及び第2の構造体115、215が形成される。
[0036]図5は、本明細書に記載の実施形態に係る、光学デバイス100、200の一部を形成するための方法500のフロー図である。図6Aから図6Dは、方法500に従って形成された光学デバイス100、200の概略断面図である。光学デバイス100、200の部分は、第1の格子102又は第2の格子105のような1つの格子に対応してもよく、又はこの部分は、光学デバイス100又は光学デバイス200の全体に対応してもよい。
[0037]ステップ501では、図6Aに示されるように、ハードマスク609が、デバイス層408上に配置される。ハードマスク609は、液体材料注入キャスティング、スピンオンコーティング、液体スプレーコーティング、ドライパウダーコーティング、スクリーン印刷、ドクターブレーディング、PVD、CVD、PECVD、FCVD、ALD、蒸着、及びスパッタリングプロセスのうちの1つ又は複数によって、デバイス層408の上に配置されてもよい。一実施形態では、ハードマスク609は、非透明であり、光学デバイス100又は光学デバイス200が形成された後に除去される。別の実施形態では、ハードマスク609は、透明である。幾つかの実施形態では、ハードマスク609は、任意の適切なインプリントレジスト材料(例えば、クロム(Cr)、銀(Ag)、Si、SiO、TiN、アルミニウム、及び炭素含有材料)を含む。
[0038]ステップ502では、レジスト層407がハードマスク609上に配置され、デバイス層408は、形成される複数の第1の構造体114、214及び複数の第2の構造体115、215に対応する。デバイス層408は、第1の高さ411及び第2の高さ412を有する。一実施形態では、レジスト層407の上面610が基板101の上面118と平行になるように、レジスト層407の第1の厚さ409と第2の厚さ410は異なる。基板101の上面118に平行なレジスト層407の上面610によって、平坦化された表面が設けられ、ステップ503及び504のパターニング及び/又はエッチングプロセスの均一性が改善される。
[0039]ステップ503では、図6Bに示すように、レジスト層407がパターニングされ、ハードマスク609の一部が露出される。レジスト層407をパターニングすることは、デバイス層408の第1の部分424の上に、複数の第1の開口420が形成された第1のレジスト層パターン422を形成し、かつデバイス層408の第2の部分425の上に、複数の第2の開口421が形成された第2のレジスト層パターン423を形成することを含む。ステップ504では、図6Cに示されるように、ハードマスク609の露出部分がエッチングされ、デバイス層408の第1の部分424のマスクされていない第1のデバイス層セグメント612が露出し、デバイス層408の第2の部分425のマスクされていない第2のデバイス層セグメント613が露出する。図6Dに示されるように、デバイス層408の第1のデバイス層セグメント612及び第2のデバイス層セグメント613がエッチングされて、複数の第1の構造体114、214及び複数の第2の構造体115、215が形成される。第1のデバイス層セグメント612は、光学デバイス100、200内に複数の第1の構造体114、214の少なくとも一部を形成するように構成されている。第2のデバイス層セグメント613は、光学デバイス100、200内に複数の第2の構造体115、215の少なくとも一部を形成するように構成されている。
[0040]一実施形態では、デバイス層408がエッチングされた後にハードマスク609が除去される。別の実施形態では、デバイス層408がエッチングされた後、ハードマスク609はデバイス層408上に留まる。図6Dは、デバイス層408に形成された第1の構造体114、214及び第2の構造体115、215を示しているが、ステップ501から504は、基板101のパターニングに適用可能である。一実施形態では、図5及び図6Dに示されるように、デバイス層408は、エッチングプロセスによってエッチングされ、デバイス層408の一部が除去され、ステップ504では、第1の構造体114、214及び第2の構造体115、215が形成される。別の実施形態では、基板101が、エッチングプロセスによってエッチングされ、基板101の一部が除去され、ステップ504では、第1の構造体114、214及び第2の構造体115、215が形成される。
[0041]図7は、本明細書に記載の実施形態に係る、光学デバイス100、200の部分を形成するための方法700のフロー図である。図8Aから図8Dは、方法700に従って形成された光学デバイス100、200の概略断面図である。光学デバイス100、200の部分は、第1の格子102又は第2の格子105のような1つの格子に対応してもよく、又はこの部分は、光学デバイス100又は光学デバイス200の全体に対応してもよい。
[0042]ステップ701では、平坦化層810が、図8Aに示されるように、デバイス層408上に配置され、デバイス層408は、形成される複数の第1の構造体114、214及び複数の第2の構造体115、215に対応する。一実施形態では、平坦化層810は、a-Si含有材料を含む。平坦化層810は、液体材料注入キャスティングプロセス、スピンオンコーティングプロセス、液体スプレーコーティングプロセス、ドライパウダーコーティングプロセス、スクリーン印刷プロセス、ドクターブレーディングプロセス、PVDプロセス、CVDプロセス、PECVDプロセス、FCVD処理、又はALDプロセスを含むがこれらに限定されるない任意の適切なプロセスによって堆積され得る。平坦化層810は、基板101に対して平行な上面812を形成し、それにより、エッチングプロセスにおいてデバイス層408のオーバーエッチングを防止する。ステップ702では、レジスト層407は、平坦化層810の上に配置される。
[0043]ステップ703では、図8Bに示されるように、レジスト層407がパターニングされ、平坦化層810の一部が露出される。レジスト層407をパターニングすることは、デバイス層408の第1の部分424の上に、複数の第1の開口420が形成された第1のレジスト層パターン422を形成し、かつデバイス層408の第2の部分425の上に、複数の第2の開口421が形成された第2のレジスト層パターン423を形成することを含む。ステップ704では、図8Cに示されるように、複数の第1の開口420及び複数の第2の開口421によって画定された平坦化層810の露出部分がエッチングされて、デバイス層408の第1の部分424のマスクされていない第1のデバイス層セグメント612及びデバイス層408の第2の部分425の第2のデバイス層セグメント613が露出する。
[0044]ステップ705では、図8Dに示されるように、デバイス層408の第1のデバイス層セグメント612及び第2のデバイス層セグメント613がエッチングされて、複数の第1の構造体114、214及び複数の第2の構造体115、215が形成される。第1のデバイス層セグメント612は、光学デバイス100、200内に複数の第1の構造114、214の少なくとも一部を形成するように構成されている。第2のデバイス層セグメント613は、光学デバイス100、200内に複数の第2の構造115、215の少なくとも一部を形成するように構成されている。
[0045]エッチングには、イオン注入、イオンエッチング、反応性イオンエッチング(RIE)、方向性RIE、及びプラズマエッチングのうちの1つ又は複数が含まれるが、これらに限定されない。一実施形態では、平坦化層810は、デバイス層408よりも大きなエッチング選択性を有する。図8Dは、デバイス層408に形成された第1の構造体114、214及び第2の構造体115、215を示しているが、ステップ701から705は、基板101のパターニングに適用可能である。一実施形態では、デバイス層408は、エッチングプロセスによってエッチングされ、デバイス層408の一部が除去され、ステップ705では、第1の構造体114、214及び第2の構造体115、215が形成される。別の実施形態では、基板101は、エッチングプロセスによってエッチングされて、基板101の一部が除去され、ステップ705では、第1の構造体114、214及び第2の構造体115、215が形成される。
[0046]本明細書に記載された実施形態は、オーバーエッチング及び不均一性に関連する欠陥を最小限に抑える、マルチ深度光学デバイスのための方法を提供する。
[0047]以上の記述は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施形態及び追加の実施形態を考案してもよい。本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (3)

  1. 光学デバイスを形成する方法であって、
    基板の上面の上に配置されたデバイス層の上に平坦化層を配置することであって、前記デバイス層が、
    前記基板の前記上面から第1の高さを有する第1の部分、及び
    前記基板の前記上面から第2の高さを有する第2の部分を有する、平坦化層を配置することと、
    前記平坦化層の上にレジスト層を配置することと、
    前記レジスト層をパターニングして、
    前記デバイス層の前記第1の部分の上に、複数の第1の開口が形成された第1のレジスト層パターンを形成し、かつ
    前記デバイス層の前記第2の部分の上に、複数の第2の開口が形成された第2のレジスト層パターンを、前記第2のレジスト層パターンの厚さが前記第1のレジスト層パターンの厚さと異なるように形成することと
    前記複数の第1の開口及び前記複数の第2の開口によって画定された前記平坦化層の露出部分をエッチングして、
    前記デバイス層の前記第1の部分のマスクされていない第1のデバイス層セグメントを露出させ、かつ
    前記デバイス層の前記第2の部分のマスクされていない第2のデバイス層セグメントを露出させることと、
    前記第1のデバイス層セグメント及び前記第2のデバイス層セグメントをエッチングすることと
    を含み、
    前記第1のデバイス層セグメントが、前記光学デバイス内に複数の第1の構造体の少なくとも一部を形成するように構成され、前記第1の構造体が、前記基板の前記上面に対して第1の深度を有し、
    前記第2のデバイス層セグメントが、前記光学デバイス内に少なくとも複数の第2の構造体を形成するように構成され、前記第2の構造体が、前記基板の前記上面に対して第2の深度を有する、方法。
  2. 前記基板上にエッチング停止層が配置されている、請求項1に記載の方法。
  3. 前記第1の深度が、前記第2の深度より浅い、請求項1に記載の方法。
JP2021559629A 2019-04-11 2020-04-09 マルチ深度光学デバイスのパターニング Active JP7431250B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023203548A JP2024026240A (ja) 2019-04-11 2023-12-01 マルチ深度光学デバイスのパターニング

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962832807P 2019-04-11 2019-04-11
US62/832,807 2019-04-11
PCT/US2020/027370 WO2020210425A1 (en) 2019-04-11 2020-04-09 Patterning of multi-depth optical devices

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023203548A Division JP2024026240A (ja) 2019-04-11 2023-12-01 マルチ深度光学デバイスのパターニング

Publications (2)

Publication Number Publication Date
JP2022526635A JP2022526635A (ja) 2022-05-25
JP7431250B2 true JP7431250B2 (ja) 2024-02-14

Family

ID=72747390

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2021559629A Active JP7431250B2 (ja) 2019-04-11 2020-04-09 マルチ深度光学デバイスのパターニング
JP2023203548A Pending JP2024026240A (ja) 2019-04-11 2023-12-01 マルチ深度光学デバイスのパターニング

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023203548A Pending JP2024026240A (ja) 2019-04-11 2023-12-01 マルチ深度光学デバイスのパターニング

Country Status (7)

Country Link
US (2) US11226556B2 (ja)
EP (1) EP3953746A4 (ja)
JP (2) JP7431250B2 (ja)
KR (1) KR20210135630A (ja)
CN (1) CN113574421A (ja)
TW (1) TW202046449A (ja)
WO (1) WO2020210425A1 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022204192A1 (en) * 2021-03-25 2022-09-29 Applied Materials, Inc. Ion implantation to modify glass locally for optical devices
WO2023136892A1 (en) * 2022-01-13 2023-07-20 Google Llc Waveguide including an optical grating with reduced contamination and methods of production thereof
WO2023136182A1 (ja) * 2022-01-14 2023-07-20 Scivax株式会社 メタサーフェス

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000193813A (ja) 1998-12-25 2000-07-14 Canon Inc 回折格子の形成方法、回折格子及び光半導体素子
JP2006065312A (ja) 2004-07-26 2006-03-09 Nippon Sheet Glass Co Ltd 透過型回折光学素子
JP2009015302A (ja) 2007-06-07 2009-01-22 Seiko Epson Corp 光学素子、液晶装置、電子機器、光学素子の製造方法、液晶装置の製造方法
US20090097122A1 (en) 2005-09-14 2009-04-16 Mirage Innovations Ltd Diffractive Optical Device and System
US20160033784A1 (en) 2014-07-30 2016-02-04 Tapani Levola Optical Components
WO2019054756A1 (ko) 2017-09-12 2019-03-21 주식회사 엘지화학 회절 도광판 및 회절 도광판의 제조 방법

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5147825A (en) 1988-08-26 1992-09-15 Bell Telephone Laboratories, Inc. Photonic-integrated-circuit fabrication process
JPH03246510A (ja) * 1990-02-23 1991-11-01 Sharp Corp 回折格子光結合器の作成方法
US5854126A (en) * 1997-03-31 1998-12-29 Siemens Aktiengesellschaft Method for forming metallization in semiconductor devices with a self-planarizing material
AU2003265243A1 (en) 2002-05-30 2003-12-19 Massachusetts Institute Of Technology Optical waveguide with non-uniform sidewall gratings
CN100397045C (zh) 2004-01-26 2008-06-25 三丰株式会社 标尺的制造方法和光电式编码器
CN1985195A (zh) * 2004-05-21 2007-06-20 皮雷利&C.有限公司 具有高深宽比的光栅结构的制造方法
US7420735B2 (en) 2004-07-26 2008-09-02 Nippon Sheet Glass Co., Ltd. Transmission type diffraction grating
US20090087794A1 (en) 2005-05-02 2009-04-02 Oki Electric Industry Co., Ltd. Method for manufacturing diffractive optical element
CN101221890B (zh) * 2007-01-12 2010-11-03 南亚科技股份有限公司 半导体结构形成的方法
US7944544B2 (en) 2007-06-07 2011-05-17 Seiko Epson Corporation Liquid crystal device having a diffraction function layer that includes a flat portion and a non-flat portion with a grid disposed in the non-flat portion
JP5319326B2 (ja) * 2009-02-25 2013-10-16 株式会社東芝 凹凸パターンの形成方法および凹凸パターン形成用シート
WO2010114477A1 (en) * 2009-03-30 2010-10-07 Agency For Science, Technology And Research Method of forming an optical structure
US20130033752A1 (en) * 2011-08-04 2013-02-07 Chien-Yue Chen Diffraction-type 3d display element and method for fabricating the same
GB2540104A (en) * 2014-05-16 2017-01-04 Innovia Security Pty Ltd Hybrid security device for security documents or token
US10409001B2 (en) * 2017-06-05 2019-09-10 Applied Materials, Inc. Waveguide fabrication with sacrificial sidewall spacers
US10732351B2 (en) * 2018-04-23 2020-08-04 Facebook Technologies, Llc Gratings with variable depths formed using planarization for waveguide displays
US10935799B2 (en) * 2018-10-23 2021-03-02 Applied Materials, Inc. Optical component having depth modulated angled gratings and method of formation
US10775158B2 (en) * 2018-11-15 2020-09-15 Applied Materials, Inc. System and method for detecting etch depth of angled surface relief gratings

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000193813A (ja) 1998-12-25 2000-07-14 Canon Inc 回折格子の形成方法、回折格子及び光半導体素子
JP2006065312A (ja) 2004-07-26 2006-03-09 Nippon Sheet Glass Co Ltd 透過型回折光学素子
US20090097122A1 (en) 2005-09-14 2009-04-16 Mirage Innovations Ltd Diffractive Optical Device and System
JP2009015302A (ja) 2007-06-07 2009-01-22 Seiko Epson Corp 光学素子、液晶装置、電子機器、光学素子の製造方法、液晶装置の製造方法
US20160033784A1 (en) 2014-07-30 2016-02-04 Tapani Levola Optical Components
WO2019054756A1 (ko) 2017-09-12 2019-03-21 주식회사 엘지화학 회절 도광판 및 회절 도광판의 제조 방법

Also Published As

Publication number Publication date
EP3953746A1 (en) 2022-02-16
EP3953746A4 (en) 2023-05-10
WO2020210425A1 (en) 2020-10-15
TW202046449A (zh) 2020-12-16
US20220100084A1 (en) 2022-03-31
US20200326621A1 (en) 2020-10-15
JP2022526635A (ja) 2022-05-25
CN113574421A (zh) 2021-10-29
JP2024026240A (ja) 2024-02-28
US11614685B2 (en) 2023-03-28
KR20210135630A (ko) 2021-11-15
US11226556B2 (en) 2022-01-18

Similar Documents

Publication Publication Date Title
JP7431250B2 (ja) マルチ深度光学デバイスのパターニング
US8623770B1 (en) Method for sidewall spacer line doubling using atomic layer deposition of a titanium oxide
US10049878B2 (en) Self-aligned patterning process
US8425789B2 (en) Method and apparatus for anisotropic etching
TWI472810B (zh) 光柵的製備方法
KR101322112B1 (ko) 마스크 패턴의 형성 방법
US10503062B2 (en) Multi-tone amplitude photomask
TW201407293A (zh) 在基板上形成圖案的方法
JP2016532311A (ja) 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
JP7483711B2 (ja) 格子を形成する方法
KR102366801B1 (ko) 반도체 소자의 제조 방법
US20230280511A1 (en) Photoresist loading solutions for flat optics fabrication
US20210098247A1 (en) Method for fabricating thick dielectric films using stress control
van de Haar et al. Fabrication process of a coaxial plasmonic metamaterial
TWI736303B (zh) 用於平坦光學裝置的孔
TW201246296A (en) Pattern forming method
KR100816210B1 (ko) 반도체 장치 형성 방법
KR102660013B1 (ko) 웨이퍼들로부터 투명 기판들로의 나노구조들의 전달
Mebarki et al. Self-aligned double patterning process for 32/32nm contact/space and beyond using 193 immersion lithography
TW202212979A (zh) 減少微電子工件之euv圖案化中的微橋缺陷之方法
JP2006011129A (ja) 微細構造を有する光学素子の製造方法。
JP2012247755A (ja) 凹凸構造体の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211207

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230117

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230417

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230616

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230801

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231201

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20231211

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240116

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240201

R150 Certificate of patent or registration of utility model

Ref document number: 7431250

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150