TW202212979A - 減少微電子工件之euv圖案化中的微橋缺陷之方法 - Google Patents

減少微電子工件之euv圖案化中的微橋缺陷之方法 Download PDF

Info

Publication number
TW202212979A
TW202212979A TW110110155A TW110110155A TW202212979A TW 202212979 A TW202212979 A TW 202212979A TW 110110155 A TW110110155 A TW 110110155A TW 110110155 A TW110110155 A TW 110110155A TW 202212979 A TW202212979 A TW 202212979A
Authority
TW
Taiwan
Prior art keywords
extreme ultraviolet
ultraviolet light
layer
protective material
euv
Prior art date
Application number
TW110110155A
Other languages
English (en)
Inventor
高明輝
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202212979A publication Critical patent/TW202212979A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/11Anti-reflection coatings
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B1/00Optical elements characterised by the material of which they are made; Optical coatings for optical elements
    • G02B1/10Optical coatings produced by application to, or surface treatment of, optical elements
    • G02B1/14Protective coatings, e.g. hard coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/2026Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure for the removal of unwanted material, e.g. image or background correction
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

實施例減少或消除微電子工件之極紫外光(EUV)圖案化中的微橋缺陷。使用EUV圖案化處理在多層結構上形成圖案化層。接著,使用一或更多斜向沉積處理將保護性材料沉積在圖案化層上。接著,在使用保護性材料以保護線圖案的同時,去除在圖案化層內的線圖案之間延伸的一或更多材料橋。如此,減少或消除了在先前解決方案中引起的微橋缺陷。在一個實施例中,斜向沉積處理包括物理氣相沉積(PVD)處理,其相對於圖案化層內的線圖案在多個方向上施加相同或不同的保護性材料。在一個實施例中,該去除步驟包括一或更多電漿修整處理。可實施變化。

Description

減少微電子工件之EUV圖案化中的微橋缺陷之方法
本揭示內容係關於微電子工件的製造方法,包括在微電子工件上之圖案化結構之形成。
微電子工件內的元件形成通常涉及基板上之材料層的形成、圖案化及移除之一系列的製造技術。為了滿足目前與下一世代半導體元件的物理與電性規格,要求處理流程可縮小特徵部尺寸,同時對於各種圖案化製程能維持結構整體性。
為了減小特徵部尺寸,已在處理系統中引入使用極紫外光(EUV)微影的圖案化,且此等EUV微影通常使用具有6至16奈米(nm)或更小之波長的光。例如,EUV圖案化技術已被引入至次7 nm節點之先進半導體元件製程的生產中。雖然可實現減小的特徵部尺寸,但在EUV圖案化過程中出現圖案性能問題。例如,對於線和間隔圖案而言,在所得之EUV圖案中會出現微橋缺陷,且該等微橋缺陷通常無法修正並導致嚴重的元件故障。例如,歸因於隨機故障問題,微橋缺陷對於小於36 nm間距的線/間隔之圖案化而言係重大的問題。此外,在消除微橋缺陷和導致斷線缺陷(其亦為通常導致嚴重元件故障的非所欲之缺陷)之間經常存在EUV微影方面的取捨問題。
圖1A(先前技術)為利用EUV圖案化處理在多層結構上形成圖案化層110之後的截面圖100之例示實施例。對於所示之例示實施例而言,多層結構包括形成於下伏層102上方的硬遮罩層104、保護層106、及抗反射塗佈(ARC)層108。下伏層102可為用於微電子工件的基板,例如半導體基板及/或其他基板材料或材料的組合。硬遮罩層104可為SiN及/或其他硬遮罩材料或材料的組合。保護層106可為非晶碳的旋塗碳(SOC)層、平坦化層(例如有機平坦化層)、及/或其他平坦化或保護性材料或材料的組合。ARC層108可為化學氣相沉積(CVD)材料、基於矽的旋塗玻璃(SOG)層及/或其他ARC材料或材料的組合。圖案化層110可為適用於EUV微影的一或更多光阻材料或光阻材料的組合。圖案化層亦可為化學增幅光阻(CAR)材料、非CAR材料、金屬氧化物光阻(MOR)材料如SnOx、ZrO或HfO、及/或其他合適的材料。假設由圖案化層110所形成的圖案包含線及位在該等線之間的間隔。在EUV圖案化之後,圖案化層110之不樂見的材料通常會保留。在所示範例中,此等不樂見的材料在兩條相鄰線之間延伸並形成材料橋112。
圖1B(先前技術)為在利用一或更多蝕刻處理將圖1A(先前技術)中之圖案化層110的圖案轉移至下伏層之後的截面圖120的例示實施例。蝕刻處理可例如實施為一或更多電漿蝕刻處理步驟,但亦可使用其他蝕刻處理。在所示之例示實施例中,線/間隔圖案已從圖1A(先前技術)中的圖案化層110被轉移至硬遮罩層104。然而,材料橋112亦被轉移至硬遮罩層104而形成硬遮罩材料之材料橋122,其在圖案化硬遮罩層104中於兩條相鄰線之間延伸。
圖1C(先前技術)為顯示形成於兩條相鄰線之間的材料橋122之線圖案的俯視圖130之例示實施例。此材料橋122造成微橋缺陷。如上所述,此等微橋缺陷通常係無法修正的,並且在所處理的微電子工件中形成的最終元件中造成嚴重的元件故障。
本文描述減少或消除微電子工件之EUV圖案化中的微橋缺陷的實施例。使用EUV圖案化處理在多層結構上形成圖案化層。接著,使用一或更多斜向沉積處理將保護性材料沉積在圖案化層上。接著,在使用保護性材料以保護線圖案的同時,去除在圖案化層內的線圖案之間延伸的一或更多材料橋。如此,減少或消除了在先前解決方案中引起的微橋缺陷。在一個實施例中,斜向沉積處理包括物理氣相沉積(PVD)處理,其相對於圖案化層內的線圖案在多個方向上施加相同或不同的保護性材料。在一個實施例中,該去除步驟包括一或更多電漿修整處理。亦可實施不同或額外的特徵、變化、和實施例,並且亦可利用相關的系統和方法。
在一實施例中,揭示一種用於微電子工件之極紫外光處理的方法,其包含:利用極紫外光(EUV)圖案化處理在一多層結構上形成一圖案化層;使用在二或更多方向上施加的一或更多斜向沉積處理在該圖案化層上方沉積保護性材料;以及在利用該保護性材料以保護該圖案化層內之線圖案的同時,將在該等線圖案之間延伸的一或更多材料橋去除。
在其他實施例中,該保護性材料具有大於或等於0.1奈米且小於或等於5.0奈米的厚度。在進一步的其他實施例中,該去除步驟包含一或更多蝕刻處理。在進一步的實施例中,該一或更多蝕刻處理包含一或更多電漿修整處理。
在其他實施例中,該沉積步驟包含用以沉積第一保護性材料之在第一方向上的第一斜向沉積處理、以及用以沉積第二保護性材料之在第二方向上的第二斜向沉積處理。在進一步的實施例中,該第一保護性材料與該第二保護性材料為相同的材料。在進一步的實施例中,該第一保護性材料與該第二保護性材料為彼此不同的材料或包含材料之組合。在進一步的實施例中,該第一保護性材料與該第二保護性材料各自包含下列其中至少一者:有機材料、氧化物、氮化物、碳、矽、SiO、SiN、SiON、Sn、SnO、Ti、TiO、TiN、Ta、TaN、Al、AlO、Zr、ZrO、Hf、HfO、W、或WC。
在其他實施例中,該一或更多斜向沉積處理包含一或更多斜向物理氣相沉積(PVD)處理。在進一步的實施例中,該一或更多斜向PVD處理相對於下一個下伏層的水平表面以10至80度的入射角塗佈該保護性材料。在進一步的實施例中,該一或更多斜向PVD處理係用於在兩個不同方向上同時沉積保護性材料。
在其他實施例中,第一組的斜向PVD處理係用於使用一或更多角度在第一方向上沉積保護性材料,且使用一或更多角度的第二組的斜向PVD處理係用於在第二方向上沉積保護性材料。在進一步的實施例中,該第一組中的斜向PVD處理係與該第二組中的斜向PVD處理交替進行。
在其他實施例中,使用具有相同的製程化學品或不同的製程化學品之複數斜向PVD處理。在進一步的其他實施例中,使用具有相同的目標材料或不同的目標材料之複數斜向PVD處理。
在其他實施例中,該一或更多材料橋具有比該圖案化層內的該等線圖案之高度更低的高度。在進一步的實施例中,其上形成有該圖案化層的下一個下伏層的表面之上的該一或更多材料橋之高度係大於零,且係小於或等於在該下一個下伏層之上的該等線圖案之高度的百分之九十五。
在其他實施例中,該方法包含將該圖案化層之圖案轉移至該多層結構內的至少一個下伏層。在進一步的實施例中,該多層結構包含形成於該微電子工件之基板上方的硬遮罩層,且該圖案被轉移至該硬遮罩層。在進一步的實施例中,該方法包含:在進行該轉移步驟之前,執行一或更多蝕刻處理以去除該保護性材料。
在其他實施例中,該EUV圖案化處理包含:形成一EUV光阻層;使用EUV光以一圖案使該EUV光阻層曝光;及去除該EUV光阻層之未曝光部分以形成該圖案化層。在進一步的實施例中,該EUV光阻層包含下列其中至少一者:化學增幅光阻(CAR)材料、非CAR材料、金屬氧化物光阻(MOR)材料、或材料之組合。在進一步的實施例中,該EUV光具有6至16奈米的波長。
在其他實施例中,該多層結構包含形成於該微電子工件之基板上方的抗反射塗佈(ARC)層、保護層、及硬遮罩層。
亦可實施不同的或額外的特徵、變化、及實施例,並且亦可利用相關的系統與方法。
揭示用以減少或消除微電子工件之EUV圖案化中的微橋缺陷的方法。如本文所述,斜向沉積處理(例如斜向物理氣相沉積(PVD)處理)塗佈保護圖案化層(包括線圖案之側壁及角部)的材料。在一個實施例中,將斜向PVD處理施加於圖案化光阻層內的線,使得該等線之間的材料橋不會接收來自斜向PVD的沉積材料。接著例如使用電漿修整處理去除材料橋。當所得之圖案被轉移至下伏層時,不會發生材料橋的轉移,因為該等材料橋已預先被移除。因此,在不損害線圖案的情況下減少或消除了微橋缺陷。亦可實現其他優點及實施方式,而仍利用此處所述的處理技術。
圖2A-2C提供利用EUV圖案化處理在多層結構上形成圖案化層110之後的例示實施例。如上所述,可利用EUV微影以形成圖案化層110。例如,可形成EUV光阻層,然後利用EUV光使其曝光於一圖案。接著,可例如利用一或更多光阻蝕刻處理去除EUV光阻層的未曝光部分以形成圖案化層110。
首先參照圖2A,顯示出包含圖案化層110之俯視圖200的例示實施例。圖案化層110包含線圖案和相鄰的間隔。通過相鄰的間隔可看到下伏的ARC層108。材料橋112在圖案化層110中於該等線之其中兩者之間延伸。線A-A 202及線B-B 204分別表示圖2B及圖2C中之截面圖的位置。
圖2B為通過圖2A所示之線A-A 202的截面圖210之例示實施例。在所示之例示實施例中,多層結構包括形成於下伏層102上方的硬遮罩層104、保護層106、及ARC層108。下伏層102可為用於微電子工件的基板,例如半導體基板及/或其他基板材料或材料的組合。硬遮罩層104可為SiN及/或其他硬遮罩材料或材料的組合。保護層106可為非晶碳的旋塗碳(SOC)層、平坦化層(例如有機平坦化層)、及/或其他平坦化或保護性材料或材料的組合。ARC層108可為化學氣相沉積(CVD)材料、基於矽的旋塗玻璃(SOG)層、及/或其他ARC材料或材料的組合。圖案化層110可為適用於EUV微影的一或更多光阻材料或光阻材料的組合。圖案化層亦可為化學增幅光阻(CAR)材料、非CAR材料、金屬氧化物光阻(MOR)材料如SnOx、ZrO或HfO、及/或其他合適的材料。如圖2A所示,由圖案化層110所形成的圖案包含線和位在該等線之間的間隔。
圖2C為通過圖2A中所示之線B-B 204的截面圖220之例示實施例。此截面圖220與圖1A(先前技術)中的截面圖100相似。如圖所示,圖案化層110之不樂見的材料在兩條相鄰線之間延伸並形成非所欲的材料橋112。例如,此等材料橋通常係由圖案化層110的圖案化之後留下的浮渣(scum)所形成。通常,材料橋112具有比圖案化層110內的線圖案之高度更低的高度。在一個例示實施例中,其上形成有圖案化層110的下一個下伏層(例如,圖2C中的ARC層108)之表面上方的材料橋112的高度(H BRDG)大於零且小於或等於圖案化層110在下一個下伏層上方延伸的高度(H PTRN)的百分之九十五,使得0 < H BRDG≤  (0.95)*H PTRN。應注意,材料橋112的高度可根據在圖案化層110中形成的圖案及/或其他製程參數而變化。
圖3A-3C提供在使用第一方向302上的第一斜向沉積處理將保護性材料304沉積於圖案化層110上之後的例示實施例,該圖案化層110可包括形成於圖案化層110內的線圖案。保護性材料304可為例如氧化物、氮化物、及/或其他保護性材料,但亦可使用不同及/或額外的材料。例如,保護性材料304亦可為含矽(Si)材料如Si、SiO、SiN、及/或SiON;含金屬材料如Sn、SnO、Ti、TiO、TiN、Ta、TaN、Al、AlO、Zr、ZrO、Hf、HfO、W、及/或WC;有機材料如碳(C);及/或其他合適的材料或材料的組合。應進一步注意,可將一或更多沉積處理步驟使用於第一斜向沉積處理以沉積保護性材料304。在一個例示實施例中,保護性材料304的厚度(T)係大於或等於0.1 nm且小於或等於5.0 nm,使得0.1 nm ≤ T ≤ 5.0 nm。應注意,亦可根據在圖案化層110內形成的圖案而使用其他厚度。應進一步注意,用於保護性材料304的厚度及材料可經設計為具有足夠的選擇性以保護圖案化層110內的圖案免於在去除非所欲之材料橋112期間受到侵蝕,且此等對厚度和材料的選擇可與在圖案化層110及/或下伏結構內所形成的圖案無關。
圖3A為圖2A中之結構在藉由第一方向302上的第一斜向沉積處理沉積材料304之後的俯視圖300之例示實施例。如圖所示,保護性材料304係沉積在暴露於第一方向302以進行第一斜向沉積處理之圖案化層110的側壁和角部上。僅保護性材料的一小部分306係沉積在材料橋112之上。線A-A 202和線B-B 204分別表示圖3B和圖3C中之截面圖的位置。
圖3B為通過圖3A中所示之線A-A 202的截面圖310之例示實施例。如上所述,在第一方向302上的第一斜向沉積處理已在暴露於方向302以進行此第一斜向沉積處理之圖案化層110的側壁和角部上沉積保護性材料304。
圖3C為通過圖3A中所示之線B-B 204的截面圖320之例示實施例。如上所述,在第一方向302上的第一斜向沉積處理已在暴露於第一方向302以進行第一斜向沉積處理之圖案化層110的側壁和角部上沉積保護性材料304。亦如上所述,僅保護性材料的一小部分306係沉積在材料橋112上方。
圖4A-4C提供在使用第二方向402上的第二斜向沉積處理將保護性材料404沉積於圖案化層110上之後的例示實施例,該圖案化層110可包含形成於圖案化層110內的線圖案。保護性材料404可為例如氧化物、氮化物、及/或其他保護性材料,但亦可使用不同及/或額外的材料。例如,保護性材料404亦可為含矽(Si)材料如Si、SiO、SiN、及/或SiON;含金屬材料如Sn、SnO、Ti、TiO、TiN、Ta、TaN、Al、AlO、Zr、ZrO、Hf、HfO、W、及/或WC;有機材料如碳(C);及/或其他合適的材料或材料的組合。應進一步注意,可將一或更多沉積處理步驟使用於第二斜向沉積處理以沉積保護性材料404。在一個例示實施例中,保護性材料404的厚度(T)大於或等於0.1 nm且小於或等於5.0 nm,使得0.1 nm ≤ T ≤ 5.0 nm。應進一步注意,亦可根據在圖案化層110中形成的圖案而使用其他厚度。應進一步注意,用於保護性材料404的厚度和材料可經設計為具有足夠的選擇性以保護圖案化層110內的圖案免於在去除非所欲之材料橋112期間受到侵蝕,且此等對厚度和材料的選擇可與在圖案化層110及/或下伏結構內所形成的圖案無關。
此外,用於保護性材料404的材料可與用於保護性材料304的材料相同或不同,但較佳為相同的材料。應進一步注意,保護性材料304及/或保護性材料404可為使用複數斜向沉積處理所沉積的材料之組合。例如,保護性材料304可為藉由使用不同製程化學品之複數斜向沉積處理而形成的材料之組合。相似地,保護性材料404可為藉由使用不同製程化學品之複數斜向沉積處理而形成的材料之組合。亦可實施其他變化。
圖4A為圖3A中之結構在藉由第二方向402上的第二斜向沉積處理沉積保護性材料404之後的俯視圖400之例示實施例。如圖所示,保護性材料404被沉積在暴露於第二方向402以進行第二斜向沉積處理之圖案化層110的側壁和角部上。僅保護性材料的一小部分406係沉積在材料橋112之上。線A-A 202和線B-B 204分別表示圖4B和圖4C中之截面圖的位置。
圖4B為通過圖4A中所示之線A-A 202的截面圖410之例示實施例。如上所述,在第二方向402上的第二斜向沉積處理已在暴露於第二方向402以進行第二斜向沉積處理之圖案化層110的側壁和角部上沉積保護性材料404。
圖4C為通過圖4A中所示之線B-B 204的截面圖420之例示實施例。如上所述,在第二方向402上的第二斜向沉積處理已在暴露於第二方向402以進行第二斜向沉積處理之圖案化層110的側壁和角部上沉積保護性材料404。亦如上所述,僅保護性材料的一小部分406係沉積在材料橋112上方。
再次注意,保護性材料404可為與保護性材料304相同的材料,但亦可使用不同的材料。此外,用於形成保護性材料304/404的斜向沉積處理可使用相同的製程化學品或使用不同的製程化學品來實施。應進一步注意,保護性材料304/404係顯示為在不同時間被沉積。然而,應理解,保護性材料304/404可同時被沉積或可利用交替變換方向的複數斜向沉積處理來沉積。例如,第一組斜向沉積處理可用於使用第一角度或第一組角度沉積保護性材料304,並且此第一組可與第二組斜向沉積處理交替進行,其中該第二組斜向沉積處理係用於使用第二角度或第二組角度沉積保護性材料404。亦可實施其他變化。
在一個例示實施例中,將一或更多斜向PVD處理使用於斜向沉積處理,以沉積圖3A-3C中所示的保護性材料304和沉積圖4A-4C中所示的保護性材料404。在一個例示實施例中,斜向PVD處理可相對於下一個下伏層的水平表面以10至80度(例如,10度 ≤ 入射角 ≤ 80度) (較佳為45度)的入射角沉積保護性材料304/404。應進一步注意,由於第一方向302係用於沉積保護性材料304而第二方向402係用於沉積保護性材料404,因此第一方向302可被視為10度至80度,而第二方向402可被視為100至170度,但入射角仍可被視為10至80度。此外,可將多個不同的角度使用於斜向沉積處理。例如,可以不同的角度使用複數不同的斜向沉積處理,且/或可在單一斜向沉積處理中使用多個不同的角度以在角度移動下連續地沉積材料。亦可實施其他變化。
雖然針對圖3A-3C及圖4A-4C而顯示單獨的斜向PVD處理,但應注意,亦可使用一或更多斜向PVD處理以在兩個或更多不同方向上同時塗佈保護性材料304/404。此外,如上所述,可使用交替變換方向的斜向PVD處理以在多個處理循環期間建構保護性材料304/404。此外,可使用相同的製程化學品或使用不同的製程化學品以實施斜向PVD處理。更進一步地,用於形成保護性材料304/404的斜向沉積處理之目標材料亦可為相同的材料或不同的材料。更進一步地,代替一或更多斜向PVD處理、或者除了一或更多斜向PVD處理之外,還可使用其他的斜向沉積處理。亦可實施其他變化,而仍利用此處所述的技術。
圖5A-5C提供在執行一或更多蝕刻處理以去除材料橋112之後的例示實施例。蝕刻處理可包含一或更多電漿修整處理,但亦可使用額外及/或不同的蝕刻處理以去除材料橋112及/或在形成於圖案化層110內之線圖案中的線之間延伸的其他材料橋。
圖5A為圖4A中之結構在例如利用電漿修整處理去除材料橋112之後的俯視圖500之例示實施例。如圖所示,在去除材料橋112之後保護性材料304及保護性材料404仍保留。沉積在材料橋112上方的保護性材料之部分306/406亦保留。線A-A 202和線B-B 204分別表示圖5B和圖5C中之截面圖的位置。
圖5B為通過圖5A中所示之線A-A 202的截面圖510之例示實施例。如上所述,去除任何的材料橋,且所沉積的保護性材料304/404仍保留在圖案化層110的側壁和角部上。
圖5C為通過圖5A中所示之線B-B 204的截面圖520之例示實施例。如上所述,材料橋112已被去除,且所沉積的保護材料304/404仍保留在圖案化層110的側壁和角部上。亦如上所述,在去除材料橋112之後,沉積在材料橋112上方的保護性材料之部分306/406亦保留。
圖6為在施加一或更多蝕刻處理以從圖5C中所示之結構去除保護性材料304/404之後的截面圖600之例示實施例。由於材料橋112已如圖5A-5C所示地被去除,因此在去除保護性材料304/404之後期望的圖案(例如線圖案及相鄰間隔)保留在圖案化層110內。
圖7為在使用一或更多蝕刻處理將圖6中的圖案化層110之圖案轉移至下伏層之後的截面圖700之例示實施例。如上所述,該圖案可包含具有相鄰間隔的線圖案,但亦可包含其他圖案。可將蝕刻處理實施為例如一或更多電漿蝕刻處理步驟,但亦可使用其他的蝕刻處理。在所示之例示實施例中,該圖案已被轉移至硬遮罩層104。與圖1B(先前技術)形成對比,材料橋112並未被轉移至硬遮罩層104,因為材料橋112先前如圖5A-5C所示地被去除。所期望的圖案(例如線圖案和相鄰間隔)保留在硬遮罩層104內。因此,藉由此處所述之斜向沉積技術而減少或消除了在先前解決方案中會引起的微橋缺陷。
圖8為用於對微電子工件進行EUV處理並同時減少或消除先前解決方案所引起的微橋缺陷之例示實施例的程序流程圖800。在方塊802中,使用極紫外光(EUV)圖案化處理在多層結構上形成圖案化層。在方塊804中,使用一或更多斜向沉積處理在圖案化層上方沉積保護性材料。例如,可在兩個或更多方向上施加一或更多斜向沉積處理以沉積保護性材料。在一個實施例中,該一或更多斜向沉積處理包含一或更多PVD處理,其相對於圖案化層內的線圖案而在多個方向上塗佈相同或不同的保護性材料。在方塊806中,在利用保護性材料以保護線圖案的同時,將在圖案化層內的線圖案之間延伸的一或更多材料橋去除。在一個實施例中,該去除步驟包含一或更多電漿修整處理。應注意,亦可使用額外或不同的處理步驟,而仍利用此處所述之技術。
應注意,一或更多沉積製程可用以形成在此所述的材料層。例如,可使用化學氣相沉積(CVD)、電漿增強CVD(PECVD)、物理氣相沉積(PVD)、原子層沉積(ALD)、及/或其他沉積製程來實施一或更多沉積。對於電漿沉積製程,可在各種壓力、功率、流量、及溫度條件下使用一前驅物氣體混合物,其中該前驅物氣體混合物包括但不限於碳氫化合物、氟碳化合物、或含氮碳氫化合物與一或更多稀釋氣體(例如,氬氣、氮氣等)的組合。可使用光學微影、極紫外線(EUV)微影、及/或其他微影製程對光阻(PR)層實施微影製程。可使用電漿蝕刻製程、放電蝕刻製程、及/或其他期望的蝕刻製程來實施蝕刻製程。例如,可使用含氟碳化合物、氧、氮、氫、氬、及/或其他氣體的電漿來實施電漿蝕刻製程。此外,可控制製程步驟的操作變數,以確保在通孔形成期間達到通孔的臨界尺寸(CD)目標參數。該等操作變數可包括例如腔室溫度、腔室壓力、氣體流速、在產生電漿時施加到電極組件的頻率與/或功率、及/或其他用於處理步驟的操作變數。當仍利用在此所述的技術時,亦可實施變化。
應注意,本說明書對「一實施例」或「實施例」的參照意指涉及該實施例所描述之一特定的特徵、結構、材料、或特性被包括在本發明的至少一實施例中,但不表示其存在於每一實施例中。因此,在本說明書各處之用語「在一實施例中」或「在實施例中」的出現不必然是意指本發明的相同實施例。又,可在一或更多實施例中以任何適當的方式來組合特定特徵、結構、材料、或特性。在其他實施例中,可包括各種額外的層及/或結構,可省略所描述的特徵。
在此使用的「微電子工件」大致上意指根據本發明所處理的物件。微電子工件可包括一元件(尤其是半導體或其他電子元件)的任何材料部分或結構,並且可為例如一基底基板結構(諸如一半導體基板,或一基底基板結構之上或上方的一層(諸如一薄膜))。因此,工件不應被限制在任何特定的基底結構、下伏層或上覆層,無論其被圖案化或未被圖案化,而是應被解讀成包括任何這樣的層或基底結構及層與/或基底結構的任何組合。以下的敘述是參照特定類型的基板,但這僅是為了說明目的且不會構成限制。
在此使用的用語「基板」意指且包括一基底材料或構造,其中材料被形成在該基底材料或構造。可瞭解的是基板可包括單一材料、複數不同材料的層、具有不同材料或不同構造在其中的一層或多個層、或上述組合。例如,基板可為一半導體基板、位於一支撐結構上的一基底半導體層、一金屬電極、或具有一或更多層、結構或區域被形成在其上的一半導體基板。基板可為傳統的矽基板,或其他包含半導體材料層的塊體基板。如在此所使用,用語「塊體基板」意指且包括不僅矽晶圓,亦包括矽覆絕緣物(「SOI」)基板,諸如矽覆藍寶石(「SOS」)基板、及矽覆玻璃(「SOG」)基板,位於基底半導體基礎上的磊晶矽層,及其他半導體或光電材料,諸如矽-鍺、鍺、砷化鎵、氮化鎵、及磷化銦。基板可被摻雜或未被摻雜。
用以處理微電子工件的系統與方法被描述在各種實施例中。熟悉此相關技藝的人士可瞭解的是各種實施例能在不具有一或更多特定細節下或在具有其他替代與/或額外方法、材料或部件下來實施。在其他情況中,已知的結構、材料、或操作並未詳細地被顯示或描述,以為了避免模糊化本發明之各種實施例的態樣。相似地,為了說明目的,公開了特定數量、材料及組態,以為了提供本發明的完整瞭解。儘管如此,本發明可在不具有特定細節下來實施。又,可瞭解的是圖上顯示的各種實施例是示例性表示,並且不必然按比例繪製。
所描述的系統與方法之進一步變更和替代實施例對於熟悉此技藝之人士在參照本敘述是顯見的。因此,可瞭解的是所描述的系統與方法不會受到這些示例性配置所限制。可瞭解的是在此所示的系統與方法之形式是用來作為示例性實施例。可在實施方式中進行各種變化。因此,儘管在此是參照特定實施例來描述本發明,可在不脫離本發明的範疇下進行各種變更與變化。因此,說明書與圖式應被視為示例性的,而不是限制性的,並且這樣的變更應被包括在本發明的範疇內。又,任何在此所述關於特定實施例的好處、優點、或解決方式不應被解讀成申請專利範圍中任一或全部請求項之重要的、需要的、或必要的特徵或元件。
100:截面圖 102:下伏層 104:硬遮罩層 106:保護層 108:抗反射塗佈(ARC)層 110:圖案化層 112:材料橋 120:截面圖 122:材料橋 130:俯視圖 200:俯視圖 202:線A-A 204:線B-B 210:截面圖 220:截面圖 300:俯視圖 302:第一方向 304:保護性材料 306:部分 310:截面圖 320:截面圖 400:俯視圖 402:第二方向 404:保護性材料 406:部分 410:截面圖 420:截面圖 500:俯視圖 510:截面圖 520:截面圖 600:截面圖 700:截面圖 800:程序流程圖 802:方塊 804:方塊 806:方塊
透過結合附圖而參照以下說明,可獲得本發明及其優點之更完整理解,在附圖中相似的參考符號表示相似的特徵。然而,應注意,附圖僅顯示所揭示之概念的例示性實施例,且因此並非視為對範圍的限制,所揭示之概念可允許其他的等效實施例。
圖1A-1C(先前技術)提供習知EUV圖案化處理之例示實施例,其中微橋缺陷係因EUV圖案化而造成。
圖2A-2C提供利用EUV圖案化處理在多層結構上形成圖案化層之後的例示實施例。
圖3A-3C提供在使用第一方向上的第一斜向沉積處理將保護性材料沉積於圖2A-2C所示之圖案化層上之後的例示實施例。
圖4A-4C提供在使用第二方向上的第二斜向沉積處理將保護性材料沉積於圖3A-3C所示之圖案化層上之後的例示實施例。
圖5A-5C提供在執行一或更多蝕刻處理以去除圖4A-4C所示之材料橋之後的例示實施例。
圖6為在施加一或更多蝕刻處理以從圖5C所示之結構中去除保護性材料之後的例示實施例。
圖7為在使用一或更多蝕刻處理將圖6中的圖案化層之圖案轉移至下伏層之後的例示實施例。
圖8為將斜向沉積技術應用於本文所述之微電子工件之EUV圖案化處理之例示實施例的程序流程圖。
800:程序流程圖
802:方塊
804:方塊
806:方塊

Claims (24)

  1. 一種用於微電子工件之極紫外光處理的方法,包含: 利用極紫外光(EUV)圖案化處理在一多層結構上形成一圖案化層; 使用在二或更多方向上施加的一或更多斜向沉積處理在該圖案化層上方沉積保護性材料;以及 在利用該保護性材料以保護該圖案化層內之線圖案的同時,將在該等線圖案之間延伸的一或更多材料橋去除。
  2. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該保護性材料具有大於或等於0.1奈米且小於或等於5.0奈米的厚度。
  3. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該去除步驟包含一或更多蝕刻處理。
  4. 如請求項3之用於微電子工件之極紫外光處理的方法,其中該一或更多蝕刻處理包含一或更多電漿修整處理。
  5. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該沉積步驟包含用以沉積第一保護性材料之在第一方向上的第一斜向沉積處理、以及用以沉積第二保護性材料之在第二方向上的第二斜向沉積處理。
  6. 如請求項5之用於微電子工件之極紫外光處理的方法,其中該第一保護性材料與該第二保護性材料為相同的材料。
  7. 如請求項5之用於微電子工件之極紫外光處理的方法,其中該第一保護性材料與該第二保護性材料為彼此不同的材料或包含材料之組合。
  8. 如請求項5之用於微電子工件之極紫外光處理的方法,其中該第一保護性材料與該第二保護性材料各自包含下列其中至少一者:有機材料、氧化物、氮化物、碳、矽、SiO、SiN、SiON、Sn、SnO、Ti、TiO、TiN、Ta、TaN、Al、AlO、Zr、ZrO、Hf、HfO、W、或WC。
  9. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該一或更多斜向沉積處理包含一或更多斜向物理氣相沉積(PVD)處理。
  10. 如請求項9之用於微電子工件之極紫外光處理的方法,其中該一或更多斜向PVD處理相對於下一個下伏層的水平表面以10至80度的入射角塗佈該保護性材料。
  11. 如請求項9之用於微電子工件之極紫外光處理的方法,其中該一或更多斜向PVD處理係用於在兩個不同方向上同時沉積該保護性材料。
  12. 如請求項9之用於微電子工件之極紫外光處理的方法,其中第一組的斜向PVD處理係用於使用一或更多角度在第一方向上沉積該保護性材料,且使用一或更多角度的第二組的斜向PVD處理係用於在第二方向上沉積該保護性材料。
  13. 如請求項12之用於微電子工件之極紫外光處理的方法,其中該第一組中的斜向PVD處理係與該第二組中的斜向PVD處理交替進行。
  14. 如請求項9之用於微電子工件之極紫外光處理的方法,其中,使用具有相同的製程化學品或不同的製程化學品之複數斜向PVD處理。
  15. 如請求項9之用於微電子工件之極紫外光處理的方法,其中,使用具有相同的目標材料或不同的目標材料之複數斜向PVD處理。
  16. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該一或更多材料橋具有比該圖案化層內的該等線圖案之高度更低的高度。
  17. 如請求項16之用於微電子工件之極紫外光處理的方法,其中,其上形成有該圖案化層的下一個下伏層的表面之上的該一或更多材料橋之高度係大於零,且係小於或等於在該下一個下伏層之上的該等線圖案之高度的百分之九十五。
  18. 如請求項1之用於微電子工件之極紫外光處理的方法,更包含將該圖案化層之圖案轉移至該多層結構內的至少一個下伏層。
  19. 如請求項18之用於微電子工件之極紫外光處理的方法,其中該多層結構包含形成於該微電子工件之基板上方的硬遮罩層,且其中該圖案被轉移至該硬遮罩層。
  20. 如請求項18之用於微電子工件之極紫外光處理的方法,更包含:在進行該轉移步驟之前,執行一或更多蝕刻處理以去除該保護性材料。
  21. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該EUV圖案化處理包含:形成一EUV光阻層;使用EUV光以一圖案使該EUV光阻層曝光;及去除該EUV光阻層之未曝光部分以形成該圖案化層。
  22. 如請求項21之用於微電子工件之極紫外光處理的方法,其中該EUV光阻層包含下列其中至少一者:化學增幅光阻(CAR)材料、非CAR材料、金屬氧化物光阻(MOR)材料、或材料之組合。
  23. 如請求項21之用於微電子工件之極紫外光處理的方法,其中該EUV光具有6至16奈米的波長。
  24. 如請求項1之用於微電子工件之極紫外光處理的方法,其中該多層結構包含形成於該微電子工件之基板上方的抗反射塗佈(ARC)層、保護層、及硬遮罩層。
TW110110155A 2020-03-24 2021-03-22 減少微電子工件之euv圖案化中的微橋缺陷之方法 TW202212979A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/827,928 US11615958B2 (en) 2020-03-24 2020-03-24 Methods to reduce microbridge defects in EUV patterning for microelectronic workpieces
US16/827,928 2020-03-24

Publications (1)

Publication Number Publication Date
TW202212979A true TW202212979A (zh) 2022-04-01

Family

ID=77854719

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110110155A TW202212979A (zh) 2020-03-24 2021-03-22 減少微電子工件之euv圖案化中的微橋缺陷之方法

Country Status (4)

Country Link
US (1) US11615958B2 (zh)
KR (1) KR20220158033A (zh)
TW (1) TW202212979A (zh)
WO (1) WO2021194593A1 (zh)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100769142B1 (ko) 2006-11-07 2007-10-22 동부일렉트로닉스 주식회사 반도체 소자 형성방법
US7864426B2 (en) 2007-02-13 2011-01-04 Xradia, Inc. High aspect-ratio X-ray diffractive structure stabilization methods and systems
KR101437924B1 (ko) 2010-01-22 2014-09-11 한국생명공학연구원 경사 증착을 이용한 리소그래피 방법
JP6028110B2 (ja) 2013-12-25 2016-11-16 キヤノンアネルバ株式会社 基板加工方法及び半導体装置の製造方法
US10431440B2 (en) 2015-12-20 2019-10-01 Applied Materials, Inc. Methods and apparatus for processing a substrate
US10134579B2 (en) * 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10283362B2 (en) * 2017-08-17 2019-05-07 Nanya Technology Corporation Method of forming fine line patterns of semiconductor devices

Also Published As

Publication number Publication date
US20210305048A1 (en) 2021-09-30
WO2021194593A1 (en) 2021-09-30
US11615958B2 (en) 2023-03-28
KR20220158033A (ko) 2022-11-29

Similar Documents

Publication Publication Date Title
TWI743249B (zh) 用於高深寬比結構之移除方法
TWI783121B (zh) 半導體製程中之間隔物限定的直接圖案化方法
US9852916B2 (en) Single platform, multiple cycle spacer deposition and etch
TWI784176B (zh) 用以增進極紫外光(euv)蝕刻抗性的保護性覆蓋物之選擇性原子層沉積(ald)
KR101322112B1 (ko) 마스크 패턴의 형성 방법
CN110610898A (zh) 图案化半导体装置的方法
JP2008270803A (ja) 限界寸法収縮の制御されたエッチングプロセス
US11094543B1 (en) Defect correction on metal resists
JP2000277500A (ja) 無機および金属フィルムの高分解能インサイチュプラズマエッチングのための方法および装置
JP2009094279A (ja) ホールパターンの形成方法および半導体装置の製造方法
EP3953746A1 (en) Patterning of multi-depth optical devices
TW202018799A (zh) 用於貫孔輪廓控制及相關應用的原子層沉積(ald)襯墊
JP7471492B2 (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
TW202201484A (zh) 在微電子工件的處理中euv逆圖案化的方法
TW202212979A (zh) 減少微電子工件之euv圖案化中的微橋缺陷之方法
TW202121527A (zh) 以多色選擇性非等向性蝕刻相鄰線的方法
KR100479960B1 (ko) 감광막 패턴의 미세 선폭 구현을 위한 난반사 방지막 제조방법
JPH1012734A (ja) 半導体装置の製造方法
US20070231746A1 (en) Treating carbon containing layers in patterning stacks
JP2024506838A (ja) 周期的プラズマエッチングプロセス
TW202201760A (zh) 在微電子工件上於三維結構中用於接觸窗的凸墊形成
TW202145338A (zh) 用於反應性離子蝕刻(rie)延遲縮減及腔室角隅保護之介電蝕刻停止層