JP2000277500A - 無機および金属フィルムの高分解能インサイチュプラズマエッチングのための方法および装置 - Google Patents

無機および金属フィルムの高分解能インサイチュプラズマエッチングのための方法および装置

Info

Publication number
JP2000277500A
JP2000277500A JP2000085315A JP2000085315A JP2000277500A JP 2000277500 A JP2000277500 A JP 2000277500A JP 2000085315 A JP2000085315 A JP 2000085315A JP 2000085315 A JP2000085315 A JP 2000085315A JP 2000277500 A JP2000277500 A JP 2000277500A
Authority
JP
Japan
Prior art keywords
etching
layer
plasma
chemistry
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000085315A
Other languages
English (en)
Inventor
Hisha Shao-Wen
ヒシャ シャオ−ウェン
J Berg Michael
ジェイ. バーグ マイケル
Maureen R Brongo
アール. ブロンゴ モーリン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Conexant Systems LLC
Original Assignee
Conexant Systems LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Conexant Systems LLC filed Critical Conexant Systems LLC
Publication of JP2000277500A publication Critical patent/JP2000277500A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 超小型構造体の保全性を確保し、短い露光波
長および薄いフォトレジスト層を適用する複合構造体・
製造プロセスで、効率を上げ半導体製造プロセスのコス
トおよび複雑さ低減する複合構造体・製造プロセス。 【解決手段】 金属層、金属層上のARC層、金属層の
反対側のARC層上に配置されたフォトレジストパター
ンを含む半導体ワークピースの作製に使用する、エッチ
ング中に半導体デバイスを保持する取付具;半導体デバ
イスが取付具にある状態で、フォトレジストパターンに
近接するワークピース表面にエッチング化学物質を供給
する導管;ARC層をエッチングする第1エッチング化
学物質を含む第1ソース・金属層をエッチングする第2
エッチング化学物質を含む第2ソースであって、第1ソ
ース・第2ソースは導管と連絡状態に構成され;導管に
対する第1エッチング化学物質・第2エッチング化学物
質の流れを制御するコントローラを含むエッチングツー
ル。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般的に、1ミク
ロン未満の深さの半導体製造についてのエッチング方法
および装置に関し、より特定すると、単一のチャンバに
おいて金属および無機層の両方をプラズマエッチングす
る方法および装置に関する。
【0002】1ミクロン未満の深さの半導体製造中、単
一チャンバで金属および無機誘電体層の両方をプラズマ
エッチングするための方法および装置である。フッ素ベ
ース化学物質、またはフッ素ベース化学物質と塩素ベー
ス化学物質との混合物は、無機誘電体層をエッチングす
るために使用される。次いで、同じエッチングチャンバ
内で塩素ベース化学物質に切り換えられ、これは金属層
をエッチングするために利用される。オーバーエッチン
グはまた、いかなる残留物をも洗浄するために塩素ベー
ス化学物質を用いて行われ得る。
【0003】
【従来の技術】近年、半導体プロセシング技術における
進歩は、1ミクロン未満および0.5ミクロン未満の深
さの特徴サイズにさえ使用する集積回路デバイスの発展
を導いてきている。1ミクロン未満の深さの技術は、
0.35ミクロンより小さい限界寸法または特徴サイズ
を含み、これは露光プロセス中に漸増的により短い入射
波長を使用するフォトリソグラフプロセスを必要とす
る。
【0004】さらに、1ミクロン未満の深さの特徴サイ
ズは、これらのより短い波長を使用するための洗練され
た新規なフォトレジスト方法の発展を産み出してきた。
【0005】1ミクロン未満の深さの線の幅はまた、フ
ォトレジストパターンに対する受容可能なアスペクト比
を維持するためにフォトレジスト層の厚さの減少を進め
る傾向がある。しかし、より薄いフォトレジストパター
ン層の使用は、金属エッチングプロセス中にパターン化
されたマイクロエレクトロニクス構造体の望まれない腐
食をもたらしてきた。
【0006】代表的には、薄いフォトレジスト層の存在
するマイクロエレクトロニクス構造体の保全性を保持す
るための最近の知られる試みは、金属スタックにおい
て、窒化チタン(TiN)のような金属性バリア層の使
用に関する。さらに、有機性反射防止コーティング(A
RC)層は、フォトレジストパターン構造体の構造的保
全性を保持するのを助けるために金属性バリア層の頂部
上に使用されていた。先行技術の実施はまた、硬質マス
クとして機能する有機性ARC層の前に酸化物層を利用
していた。しかしながら、先行技術において利用される
多くの異なる層は、異なるエッチング化学物質およびエ
ッチングツールを必要とし、それによって処理時間なら
びに半導体デバイスを製造ために必要な設備を増加す
る。
【0007】これらの有機性または金属性ARC層の使
用は、フォトレジストパターン構造体の保全性ならびに
得られるマイクロエレクトロニクス構造体の保全性を改
良してきたが、パターン形成されたマイクロエレクトロ
ニクス構造体の腐食はなお、金属エッチング中、すべて
のフォトレジスト層を腐食する場合において、特に有機
ARC層が酸化硬質マスクなしに金属スタックに使用さ
れる場合に生じ得る。
【0008】あるいは、本発明の一部で意図されるよう
に、無機ARC層は金属スタックにおいて使用され得、
これはフォトレジストパターン構造体の構造的保全性を
保持するための反射防止コーティングとして、および硬
質マスクとしての両方で機能する単一最適化フィルムを
提供する。有機性ARC層および金属層と異なって、無
機ARC層は典型的には、フッ素ベース化学物質を用い
てエッチングされる。フォトレジストおよびARC層を
エッチングするためのプロセス、ならびに金属層をエッ
チングするためのプロセスは典型的には、それら個々の
エッチングプロセスおよびエッチング溶液化学物質を最
適にするように特に設計される異なるエッチングツール
を使用するので、プロセス工程の数およびサイクル時間
を増加する。
【0009】従って、超小型構造体の保全性を危うくす
ることなく、より短い露光波長およびより薄いフォトレ
ジスト層を適用させる複合構造体および製造プロセスの
ための必要性がある。さらに、その効率を上げながら半
導体製造プロセスのコストおよび複雑さを減らすように
機能する複合構造体および製造プロセスのための必要性
もある。
【0010】
【発明が解決しようとする課題】従って、本発明の目的
は、超小型構造体の保全性を危うくすることなく、より
短い露光波長およびより薄いフォトレジスト層を適用さ
せる複合構造体および製造プロセスを提供し、さらに、
その効率を上げながら半導体製造プロセスのコストおよ
び複雑さを減らすように機能する複合構造体および製造
プロセスを提供することである。
【0011】
【課題を解決するための手段】本発明は、金属層、金属
層上のARC層、および金属層の反対側のARC層上に
配置されたフォトレジストパターンを含むタイプの半導
体ワークピースの作製に使用するためのエッチングツー
ルであり、このツールは、エッチング中に半導体デバイ
スを保持するための取り付け具;半導体デバイスが取り
付け具にある状態で、フォトレジストパターンに近接す
るワークピースの表面にエッチング化学物質を供給する
ように構成された導管;ARC層をエッチングするため
の第1のエッチング化学物質を含む第1のソースおよび
金属層をエッチングするための第2のエッチング化学物
質を含む第2のソースであって、第1のソースおよび第
2のソースは導管と連絡状態に構成されている;および
導管に対する、第1のエッチング化学物質および第2の
エッチング化学物質の流れを制御するためのコントロー
ラ、を包含する。
【0012】好適な実施態様において、上記ツールは、
上記ARC層が無機層であり:上記第1のエッチング化
学物質がフッ素ベースの化学物質であり;そして上記第
2のエッチング化学物質が塩素ベースの化学物質であ
る。
【0013】好適な実施態様において、上記ツールは少
なくとも1つの上記第1のソースおよび第2のソースが
エッチングガスを含む。
【0014】好適な実施態様において、上記ツールは少
なくとも1つの上記第1のソースおよび第2のソースが
エッチング液体を含む。
【0015】好適な実施態様において、上記ツールは上
記フォトレジストパターンに近接してプラズマを発生す
るためのRF源をさらに含み、それによってプラズマが
上記ワークピース表面をエッチングする。
【0016】さらに好適な実施態様において、上記ツー
ルは、プラズマエッチングチャンバ;およびチャンバ内
の圧力を制御するための真空ポンプ;をさらに含み、こ
こで上記コントローラは、さらに上記第1のエッチング
化学物質を上記導管に送達するように、そして上記AR
C層のエッチング中に上記ワークピース表面において第
1のエッチング化学物質を含むプラズマを発生するよう
に構成されている;そしてここでコントローラは、さら
に上記第2のエッチング化学物質を導管に送達するよう
に、そして上記金属表面のエッチング中にワークピース
表面において第2のエッチング化学物質を含むプラズマ
を発生するように構成されている。
【0017】好適な実施態様において、上記ツールに
は、上記コントローラが、上記ワークピースが上記取り
付け具に維持されたままで、上記ARC層のエッチング
中に上記第1のエッチング化学物質をワークピース表面
に送達するように、その後上記金属層のエッチング中に
上記第2のエッチング化学物質をワークピース表面に送
達するように構成されている。
【0018】さらに好適に実施態様において、上記ツー
ルは、上記コントローラが上記ワークピースの上記取り
付け具への配置および取り付け具からの回収を制御する
ように構成されており;そしてコントローラがさらに、
ワークピースが取り付け具に維持されたまま、上記第1
のエッチング化学物質をワークピース表面に供給するよ
うに、その後上記第2のエッチング化学物質をワークピ
ース表面に供給するように構成されている。
【0019】本発明は、金属層、金属層上のARC層、
および金属層の反対側のARC層上に配置されたフォト
レジストパターンを含むタイプの半導体デバイスの作製
する方法であり、この方法は、プラズマエッチングチャ
ンバの取り付け具にデバイスを配置する工程;第1のプ
ラズマエッチング化学物質をフォトレジストパターンに
近接するデバイスの表面に供給する工程;デバイスが取
り付け具にある状態で、ARC層およびフォトレジスト
パターンを第1のプラズマエッチング化学物質を用いて
第1のエッチング工程でエッチングする工程;第2のプ
ラズマエッチング化学物質を上記ワークピース表面に供
給する工程;およびデバイスが取り付け具に維持した状
態で、金属層を第2のプラズマエッチング化学物質を用
いて第1のエッチング工程で、続いて第2のエッチング
工程でエッチングする工程、を包含する。
【0020】好ましい実施態様において、上記方法は上
記第1のエッチング工程および第2のエッチング工程中
およびそれらの間に上記取り付け具に固定された上記ワ
ークピースを維持する工程をさらに包含する。
【0021】さらに好適な実施態様において、上記方法
は、上記第1のプラズマエッチング化学物質を供給する
上記工程がフッ素ベースエッチング化学物質を供給する
工程を包含し;そして上記第2のプラズマエッチング化
学物質を供給する上記工程が塩素ベースエッチング化学
物質を供給する工程を包含する。
【0022】さらに好適な実施態様において、上記方法
は、上記ARC層は無機誘電体を含み;上記第1のエッ
チング工程はフッ素ベースエッチング化学物質を有する
無機誘電体層をエッチングする工程を包含し;そして上
記第2のエッチング工程は塩素ベースエッチング化学物
質を有する上記金属層をエッチングする工程を包含す
る。
【0023】さらに好適な実施態様において、上記方法
は、上記第1のエッチング工程の最後にプラズマを終わ
らせる工程;および上記第2のエッチング工程のはじめ
にプラズマを再び活性化する工程、を包含する。
【0024】さらに好適な実施態様において、上記方法
は活性化したプラズマを上記第1のエッチング工程およ
び第2のエッチング工程中およびそれらの間に維持する
工程をさらに包含する。
【0025】好適な実施態様において、上記方法は、上
記第2のエッチング工程に続いてプラズマエネルギーを
低下する工程;およびその後上記デバイスをオーバーエ
ッチングする工程、を包含する。
【0026】さらに好適な実施態様において、上記方法
により製造される製品。
【0027】本発明はまた、上記方法により製造される
製品である。
【0028】本発明はまた、半導体相互接続デバイスを
作製する方法であり、この方法は、無機ARCフィルム
層を金属基板に適用する工程;上記フィルム層上にフォ
トレジストパターンをプリントしてフォトレジストパタ
ーンと金属基板との間に挟まれたフィルム層を含むスタ
ックを形成する工程;プラズマエッチングチャンバの取
り付け具にスタックを配置する工程;フォトレジストパ
ターン付近のスタックの表面にフッ素ベースプラズマを
適用する工程;フッ素ベースプラズマを用いてフォトレ
ジストパターンおよび無機フィルム層をエッチングする
工程;スタックを取り付け具から除去することなくフォ
トレジストパターンおよび無機フィルムをエッチングす
る工程に続いて、スタックに塩素ベースプラズマを適用
する工程;および塩素ベースプラズマを用いて金属基板
をエッチングする工程、を包含する。
【0029】好ましい実施態様において、上記方法は上
記無機ARC層を適用する上記工程が化学蒸着法(CV
D)を包含する。
【0030】好ましい実施態様において、上記方法は上
記CVD工程がプラズマ増強CVD(PECVD)を使
用する工程を包含する。
【0031】好ましい実施態様において、上記方法は上
記無機ARC層を適用する上記工程がARC層としてシ
リコンオキシニトリドを適用する工程を包含する。
【0032】本発明はまた、上記方法から製造される製
品である。
【0033】本発明の好ましい実施態様によれば、フォ
トレジストパターンが現像された金属層は、上部薄膜A
RC層および底部薄膜バリア層によって結合された導電
性金属(アルミニウム、チタンなど)の層を有する挟ま
れた金属スタックを含む。ここで、少なくとも上部層
は、無機誘電体物質から構成される。無機誘電体上部A
RC層の使用は、より薄いフォトレジスト層の使用を容
易にするが、1ミクロン未満の深さの特徴サイズための
フォトレジストパターンの保全性を保持する。さらに、
無機ARC層は金属エッチングプロセス中に硬質マスク
として機能し、さらに金属エッチングプロセス中にフォ
トレジストを腐食する場合でさえ金属性マイクロエレク
トロニクス構造体の保全性を増強する。
【0034】本発明のさらなる局面によれば、無機誘電
体層は化学蒸着(CVD)プロセスを利用して適用され
得る。特定の好ましい実施態様によれば、無機誘電体A
RC層はプラズマ増強CVD(PECVD)チャンバ中
で適用され得る。
【0035】本発明のさらなる局面によれば、PECV
D蒸着技術の使用は、一致した様式で誘電体層の適用を
可能にする。すなわち均一な厚さの誘電体は完全には平
面ではない表面(例えば、マイクロエレクトロニクス構
造体の層を含む表面)に適用され得る。このことは、先
行技術のシステム以上の明確な利点があり、ここで有機
性ARC層は典型的にはスピンコート技術を使用して適
用される。
【0036】本発明のさらなる局面によれば、金属エッ
チング媒体のエッチング選択性は、無機ARCが先行技
術の有機性または金属性ARC層よりも、金属エッチン
グプロセス中に腐食を受けることなく、非常に増強され
る。
【0037】本発明のよりさらなる局面によれば、無機
誘電体層は、続く処理工程で除去されることなく、相互
接続構造体に取り込まれ得る。
【0038】本発明のまたさらなる局面によれば、金属
層に近づく無機誘電体層をエッチングするプロセスは、
金属エッチングプロセスが実施される間に同じツールで
実施され得、それによって誘電体エッチング工程と金属
エッチング工程との間のツール交換の必要をなくす。
【0039】本発明のなおさらなる局面によれば、無機
誘電体ARC層はフッ素ベースエッチング化学物質を使
用してエッチングされ得、直後に金属エッチング工程の
ための塩素ベースエッチングプロセスにインサイチュで
移行する。
【0040】本発明の種々の他の局面および利点は好ま
しい例示の実施態様の詳細な説明に詳細に記載される。
【0041】本発明は、本明細書中の以下で、添付の図
面と組み合わせて説明される。ここで、種々の図面にお
いて、同様の番号は、同様の要素を示す。
【0042】
【発明の実施の形態】(好適な実施態様の詳細な説明)
比較的大きい線幅の標準的なフォトリソグラフプロセス
のために、代表的には、G線(436nm)またはI線
(365nm)の露光波長を用いる。しかし、I線フォ
トリソグラフプロセスは、線幅の臨界寸法が、約0.3
6ミクロンの形状サイズ(feature size)
のオーダーに限定される。従って、深さが2分の1ミク
ロン以下の形状サイズ(sub−half−micro
n feature size)、深い紫外露光エネル
ギー(例えば、248nm)またはそれより低いエネル
ギーが必要とされる。
【0043】図1aは、金属層の頂部に配置された金属
層106およびフォトレジスト層104を備える、代表
的な相互接続基板102を示す。当該分野で周知のよう
に、露光放射線は、マスクまたはレチクルを選択的に露
光し、そしてフォトレジスト層の種々の領域を単離し
て、フォトレジストパターンを現像するように、選択的
に付与される。次いで、このフォトレジストパターン
は、引き続いて金属層へと転写される。
【0044】図1aを引き続いて参照すると、マスク1
08は、所定のフォトレジストパターンに従って、入射
光線110をパターン化する。結果として、光は、所望
される印刷されたフォトレジストパターンに対応して、
フォトレジスト層104のこれらの領域から遮断され、
そして光は、フォトレジスト層104の現像工程の間に
除去されることが望まれる領域に透過することを許容さ
れる。しかし、当業者は、所望のフォトレジストパター
ンに到達するために、種々の異なる露光および現像の典
型例(paradigm)が用いられ得ることを理解す
る。例えば、マスクを、フォトレジスト層104が除去
されるべき領域は光から遮断され、かつ、フォトレジス
ト層104を保持することが望まれる領域は露光される
ように構成することが望ましくあり得る。
【0045】図1aを引き続いて参照し、かつここで図
1bもまた参照すると、フォトレジスト層104の除去
されることが望まれる領域が露光されそして現像された
後、フォトレジストパターンは、金属層106上に印刷
されたといわれる。図1bの文脈において、印刷された
フォトレジストパターンは、それぞれのフォトレジスト
構造体112、114、116を含む。
【0046】ここで図1a〜1cを参照すると、図1b
に示されるフォトレジストパターンは、代表的には、金
属エッチングプロセスにより金属層106上に転写さ
れ、ここで、金属106およびフォトレジスト構造体1
12、114、116が同時にエッチングされ、そして
除去されて、それぞれの金属構造体118、120、1
22を含む、図1cに示される金属マイクロエレクトロ
ニクスパターンを生じる。
【0047】構造体の線幅(図1b中で「w」で印をつ
けた矢印で表される)がより小さくなるにつれて(例え
ば、幅が0.5ミクロン未満)、フォトレジスト構造体
112、114、116および金属構造体118、12
0、122の構造的保全性は、妥協されるようになり得
る。フォトレジストおよび金属構造体が薄くなりすぎる
こと、または所定の線幅に対して垂直方向の寸法比(図
1b中で矢印「h」で表される)が過剰に大きくなるこ
とを防ぐために、アスペクト比(h/w)を、2.5〜
4のオーダーに維持することが望ましい。
【0048】図1cを続いて参照すると、マイクロエレ
クトロニクス構造体122は、妥協した構造的保全性を
有することが分かり得る;特に、構造体122の頂部
は、所望される直線状形状から逸脱している。当業者
は、このタイプの深さの変形は、代表的には、金属エッ
チングプロセスにおいて、フォトレジスト材料が完全に
または部分的に腐食して、エッチング媒体に曝されたマ
イクロエレクトロニクス構造体122の頂部を残す場合
に生じることを理解する。深いミクロン以下の形状サイ
ズに関連するより狭い深さの集束ウインドウ(focu
s window)を収容するために、より薄いフォト
レジスト層が必要とされる場合、この問題は、現在の技
術では次第に悪化する。
【0049】図2を参照すると、現在公知の相互接続プ
ロセシングの典型例は、図1と組み合わせて議論した断
面の腐食を越える、追加の欠点に悩まされている。図2
は、金属層106とフォトレジスト層104とを備え
る、代表的なマイクロエレクトロニクス基板を例示す
る。上記のように、フォトレジスト形状202、20
4、206を備えるフォトレジストパターンは、望まし
くは、金属層106上に印刷される。図1と組み合わせ
て上記で議論したタイプの適切なマスク(図2では示さ
れていない)を用いて、所定の波長を有する露光エネル
ギー(光ベクトル208、210で表される)を、図2
aに示すように、フォトレジスト層104に垂直方向に
付与する。理想的な環境では、これらの垂直方向の光
は、直線状の、理想的には側壁に垂直なフォトレジスト
構造体(例えば、構造体202、204、206)を形
成する。しかし、入射光線208、210が概して垂直
に整列されていても、フォトレジスト層104に隣接し
た金属層106の表面に光波が接触する場合、光線はし
ばしば、金属層106の表面に対して反射し、スプリア
スな反射光(例えば、反射光212、214、216)
を生じる。フォトレジスト構造体202、204、20
6はマスクされて、露光段階の間に露光されることを防
いでいるが、スプリアスな反射光(例えば、反射光21
2、216)は、しばしばフォトレジスト構造体の側壁
を通過して、その結果、フォトレジスト構造体の側壁の
一部の望ましくない露光が生じる。特に、スプリアスな
光212は、フォトレジスト構造体204の側壁に接触
していることが分かり得る;同様に、スプリアスな波2
16は、フォトレジスト構造体206の側壁に接触して
いることが分かり得る。
【0050】ここで図2bを参照すると、このようなス
プリアスな反射光線の効果は、現像されたフォトレジス
ト構造体218(所望の形状202に対応する)、フォ
トレジスト構造体224(所望の形状204に対応す
る)、およびフォトレジスト構造体226(所望の形状
206に対応する)の変形した、非直線状の側壁におい
て分かり得る。特に、それぞれのフォトレジスト構造体
218、224、226のそれぞれの側壁220、22
2、228は、側壁の望ましくない露光の結果として、
部分的に消費されている。
【0051】ここで図3を参照すると、フォトレジスト
のパターン形成の間にスプリアスな反射光線を低減する
ための現在公知の技術は、代表的には、ARC層312
の使用を含む。
【0052】より詳細には、先行技術のプロセシングの
典型例は、しばしば、スタック状の金属層302(これ
は、例えば、頂部の反射防止コーティング(ARC)層
312と底部の薄膜バリア層316との間に挟まれた中
間金属層314を含む)を用いる。
【0053】より詳細には、そして図3aを参照する
と、ベクトル318で表される垂直方向の入射露光エネ
ルギーが、フォトレジスト層310に入るにつれて、除
去することが所望されるフォトレジスト層310の一部
は、露光工程の間に露光される。ARC層312(代表
的には、露光を吸収するように設計された材料を含む)
は、露光工程の間のスプリアスな反射光を実質的に低減
する。結果として、フォトレジスト層310(点線で表
される)の一部のみが、現像工程の間に除去され、それ
によって最終的なフォトレジスト構造体の実質的に直線
状の、腐食されていない側壁304、306、308を
生じる。
【0054】最初のARC層(例えば、ARC層31
2)は、窒化チタン(しばしば、チニトリドと呼ばれ
る)のような金属材料から形成される。結果として、こ
の金属製ARC層は、金属エッチングで用いられるもの
と同じ化学物質中でエッチングされ得る。金属製ARC
層の使用に加えて、有機ARC層(示されず)もまた、
フォトレジスト層310の構造的保全性を保持するため
に用いられてきており、これは有機ARC層(示され
ず)を金属ARC層の頂部に蒸着させることにより、用
いられる。さらに、フォトレジストパターン薄層を用い
て製造された、パターン形成されたマイクロエレクトロ
ニクス構造体の保全性を保護するための努力において、
先行技術のプラクティスは、有機ARC層の前に酸化物
層を用いて、マスクの堅い保護を提供してきた。しか
し、これらの異なる層は、いくつかの異なるエッチング
化学物質および道具を必要とし、これらは、半導体の製
造を煩雑にし、そしてプロセス時間を増大させる。
【0055】ここで、図3bを参照すると、金属エッチ
ング工程に引き続く図3aの基板が示される。特に、金
属部分314は、任意の周知の湿式または乾式エッチン
グ技術を用いてエッチングされる。フォトレジスト構造
体304、306、308は、金属エッチングプロセス
の間に、金属層314に転写される。特に、フォトレジ
スト構造体304は、マイクロエレクトロニクス構造体
320として金属層上に転写される;フォトレジスト構
造体306は、マイクロエレクトロニクス構造体322
として金属層上に転写されるのが分かる;そしてフォト
レジスト構造体308は、マイクロエレクトロニクス構
造体324として金属層上に転写される。十分に厚いフ
ォトレジスト層が用いられるか、または攻撃性が少ない
(less aggressive)金属エッチング媒
体が用いられる状況下では、マイクロエレクトロニクス
構造体320、322の頂部は、しばしば、金属エッチ
ング工程の間に消費されなかった残存しているフォトレ
ジスト326、328の結果として、概して直線状のま
まである。しかし、マイクロエレクトロニクス構造体
(例えば、構造体324)の保全性は、ARC層312
の存在下においてさえも、とりわけ、以下の条件の1つ
またはそれ以上においてさえも、妥協され得ない:AR
C層を全部または部分的に消費する攻撃的なエッチング
媒体、薄すぎる故に金属エッチングプロセスの間に腐食
されるフォトレジスト層を用いること、および深いミク
ロン以下の形状サイズ。
【0056】ここで図4を参照すると、本発明の適切な
相互接続基板は、金属製スタック402を備え、この金
属製スタック402は、その中に配置されたフォトレジ
スト層410を有する。本発明の1つの局面によれば、
金属製スタック402は、適切には、1,000〜2
0,000オングストロームの範囲の厚さであり、好ま
しくは、約5,000〜8,000オングストロームで
ある。フォトレジスト層410は、適切には、0.1〜
2ミクロンのオーダーの厚さであり、好ましくは、約
0.6〜1.0ミクロンのオーダーの厚さである。本発
明のさらなる局面によれば、金属製スタック402は、
適切には、第1の頂部ARC層406と第2の低部バリ
ア層408との間に挟まれた金属層404を備える。好
ましくは、頂部ARC層406は、誘電性の無機化合物
(例えば、ケイ素酸化窒化物)である。
【0057】本発明の1つの局面によれば、金属層40
4は、適切には、アルミニウム、チタン、またはスタッ
ク状の半導体アセンブリの製造において代表的に用いら
れる他の金属から作製される。所望ならば、金属層40
4はまた、金、銀、銅を、単独で、組み合わせて、また
はアルミニウム、チタンなどと混合してのいずれかで含
み得る。さらに、基板がメモリディスクまたは他の半導
体スタック状アセンブリ以外の構造体として用いられる
場合、特定の用途に依存して、金属層404は、タング
ステン、ニッケル、または他の物質を含み得る。
【0058】図4を引き続いて参照すると、無機誘電体
層406は、任意の簡便な様式で、金属層404に適切
に付与される。特に好ましい実施態様において、無機層
406は、化学蒸着法(CVD)の技術により、層40
4上に付与される。特に好ましい実施態様において、プ
ラズマ増強CVD(PECVD)の技術は、無機層40
6を金属層404上に蒸着するのに用いられ得る。
【0059】ここで図5を参照すると、本発明の代替の
実施態様が示される。特に、無機層512は、金属層5
04の平坦な表面にもかかわらず、金属層504の表面
に付与されていることが示される。
【0060】引き続いて図5を参照すると、金属層50
4は、適切には、それぞれの構造体506、508、5
10(例えば、マイクロエレクトロニクス構造体)を備
える;その代わりに、構造体506、508、510は
また、マイクロエレクトロニクス構造体以外のステップ
(step)、リッジ(ridge)、または他の局所
的な非平面性を含み得る。CVD、または従来の周知の
「スピン」技術以外の他の付与技術を用いることによ
り、金属層が実質的に平面であるか平面から逸脱してい
るかにかかわらず、実質的に均一な厚さの無機層を金属
層上に付与することが可能である。このように、図5
は、無機層が金属層に対して適合する性質を例示してい
る。
【0061】図4に示すように(あるいは図5に示す代
替の実施態様において)、金属層に無機層を付与した
後、フォトレジスト層410を無機層に付与すること
は、望ましくあり得る。この点に関して、無機層406
は、複数の有用な目的を提供し得ることが理解される。
特に、無機層406(および代替の実施態様における無
機層512)は、ARC層として効果的に作用し得、そ
れにより、露光および現像の工程中のスプリアスな反射
光を低減することによって、フォトレジスト構造体の側
壁の垂直性(verticality)を増強する。さ
らに、以下でより詳細に議論するように、無機層406
は、残存するフォトレジストが部分的または全体的に腐
食される場合でさえも、金属エッチングの後の最終的な
マイクロエレクトロニクス構造体の構造の保全性を増強
し得る。
【0062】ここで図6を参照すると、例示の金属構造
体602は、適切には、金属層604、無機頂部層60
6、および低部バリア層608を備え、これは誘電体を
含み得る;好ましい実施態様では、底部バリア層608
はまた、チニトリドのような金属である。図4および5
と組み合わせて上記で簡潔に説明したように、無機層6
06はまた、CVDまたはPECVD蒸着技術により、
金属層604に適切に付与される。
【0063】図6aを特に参照すると、それぞれのフォ
トレジスト構造体610、612は、例えば、とりわけ
上記の印刷技術のいずれかを用いて、金属製スタック6
02上に印刷され得る。図6aから分かり得るように、
フォトレジスト構造体610、612の側壁は、部分的
にはARC層606の反射防止特性のために、高度な垂
直性を示す。
【0064】図6aで示されるフォトレジストパターン
を金属層に転写するために、図6a中で示される構造体
は、代表的には、金属エッチングプロセスを受ける。有
機ARC層を用いる従来のシステムでは、有機ARC層
は、簡便には、金属エッチングにおいて用いられる塩素
ベースのエッチング環境または指向性エッチング環境
(directional etching envi
roments)(すなわち、エッチングプロセスにバ
イアスが適用される)の存在下にて、簡便に腐食され得
る。対称的に、本発明の文脈において、無機層606
は、1つまたはそれ以上の以下の化学物質を含むフッ素
ベースのエッチング溶液を用いて、所望のようにエッチ
ングされる:CHF3、C26、およびCF4(テトラフ
ルオロメタン(tetrafluormethan
e))。
【0065】図6bを詳細に参照すると、無機層616
は、例えば、上記のもののような適切なエッチング化学
物質を使用して適切にエッチングされる。
【0066】ARC層のエッチング工程の完了時に、無
機層606は、金属層604と個々のフォトレジスト構
造体610、612との間に配置される。図6bに示さ
れるように、このエッチング工程の間、無機層606
は、例えば、矢印618によって示されるようにエッチ
ングされる。図6bに示されるように、相互接続した基
板が金属エッチングのために好ましい(すなわち、フォ
トレジスト構造体610、612を金属に転写するため
の金属層604のエッチング)。
【0067】本発明の特定の好ましい実施態様に従っ
て、上記の無機エッチング工程および金属層604のエ
ッチングの両方は、有利なことに、同一のツール内で行
われ得、それゆえプロセシング工程を保存し、そしてコ
ストおよび仕上げ半導体相互接続構造体の製造の複雑さ
を減少する。このインサイチュエッチングプロセスの詳
細は、図7および8と共に、以下により詳細に議論され
る。
【0068】続けて図6を参照すると、図6bに示され
る基板は金属エッチングを適切に受け、図6cに示され
る構造を生じる。
【0069】図6cを詳細に参照すると、フォトレジス
ト構造体610は金属層604に適切に転写され、マイ
クロエレクトロニクス構造体611を生じる;同様に、
フォトレジスト構造体612は金属中へと転写されてマ
イクロエレクトロニクス形状613を生じる。
【0070】より詳細には、構造体611は、上部61
4、中間部622、そして底部628を適切に備える。
好ましい実施態様に従って、上部614は、適切には、
無機層のエッチングプロセスの間にエッチングされなか
った無機層606の一部を備える。中間部622は、適
切には、金属エッチング工程の間にエッチングされなか
った金属層604の一部を備える。底部628は、上記
の金属エッチングプロセスの間にエッチングされなかっ
たバリア層608の一部を備え得る;あるいは、底部6
28は、金属層604が不完全にエッチングされる場合
において、バリア層608の一部および金属層604の
底部の一部を備え得る。金属エッチング工程の間のエッ
チング化学物質の攻撃性(aggressivenes
s)のレベルに依存して、ならびにさらに、フォトレジ
ストおよび金属層604に関してはエッチング媒体のエ
ッチング選択性のような因子に依存して、いくらかの残
留フォトレジスト632は、金属エッチング工程の完了
後にマイクロエレクトロニクス構造体611の上部に残
留し得る。より小さい構造体のために(例えば、深さ2
分の1ミクロン未満の形状のサイズ)、または非常に薄
いフォトレジスト層が使用されるかもしくは非常に攻撃
性の金属(それゆえフォトレジスト)エッチング溶液が
使用される状況下において、マイクロエレクトロニクス
構造体613の表面上のフォトレジスト物質の全ては、
金属エッチングプロセスの間に部分的にかもしくは完全
に腐食されることが可能であり得る。無機ARC硬質マ
スクキャップ616を生じる無機ARC層606の使用
によって、マイクロエレクトロニクス構造体613の上
部634の構造的保全性は保存される;すなわち、フォ
トレジスト層が完全に腐食される場合でさえ、この金属
エッチング化学物質は、キャップ部分616が金属エッ
チング化学物質に比較的不透過性である限りは、劇的に
はマイクロエレクトロニクス構造体613の構造に影響
を与えない。
【0071】ここで、図7を参照すると、この無機層の
エッチング、ならびに図6に関して記載される、引き続
いての金属層のエッチングは、適切には、同一のプラズ
マ増強型エッチングチャンバ内で行われ、両方のエッチ
ング工程がインサイチュ(すなわち、同一のツール中)
で行われ得る限り、実質的なコスト効率を生じる。
【0072】続いて図7を参照すると、適切なプラズマ
エッチングアセンブリ700は、好ましくはエッチング
チャンバ702、バキュームホース706を介してエッ
チングチャンバ700へと連結された真空ポンプ70
4、および複数の個々のマスクフローコントローラ(M
FC)710を備え、これらは混合バルブまたは流入レ
ギュレーター712およびエッチングチャンバ702へ
のガス入り口導管714を介して適切に連結される。エ
ッチングチャンバ702の内部領域708において、プ
ラズマゾーン722は適切に維持される。好ましい実施
態様において、プラズマエッチングチャンバ702は、
製品名、減結合プラズマ供給源(すなわちDPS)で、
Applied Materials,Inc.から入
手され得る。
【0073】金属エッチングチャンバ702の内部領域
708は、適切には、ワークピース支持体716、例え
ば、静電チャック(ESC)を備え、半導体相互接続基
板(例えば、図6に示されるような)718をプラズマ
領域722内に支持するために構成される。当業者は、
適切な温度制御機構がチャック716および/またはチ
ャンバ702の側壁もしくは他の内部領域へと組みこま
れて、エッチングプロセスの間のワークピースの表面の
温度を制御し得ることを理解する。さらに、当業者は、
真空ポンプ704によってエッチングプロセスの間、適
切な圧力が維持され得ることをさらに理解する。適切な
汚染制御、通風、および他の周知のパラメーターがエッ
チングシステム700によって調整され得ることがさら
に理解される。
【0074】エッチングプロセスを達成するために使用
される種々のガスは、外部導管714へと連結されたガ
ス入り口導管720を介してプラズマ領域722へと都
合良く付与される。好ましい実施態様において、種々の
MFC710、制御バルブ712、および種々の他のプ
ロセシングパラメーターは、パーソナルコンピューター
または他の公知の制御デバイスの使用を介して都合良く
制御され得る。
【0075】引き続いて図7を参照して、そしてまた、
図6および8を参照すると、インサイチュARCエッチ
ングプロセスおよびインサイチュ金属エッチングプロセ
スは、以下の方法に従って適切に行われ得る:無機AR
C層606をエッチングすることが所望される場合(図
6aを参照のこと)、金属スタック602を備える基板
およびその上に形成されたフォトレジスト構造体は、チ
ャック716上に適切に配置される(図7においてワー
クピース718として成形された相互接続ワークピー
ス)。特定のエッチングレシピに適切な種々のプロセシ
ングパラメーターが、次いで構築され(工程802)、
とりわけ、これは適切な温度、圧力、プラズマ点火時間
およびドウェル時間の設定工程ならびにプラズマ領域7
22に供給されるべき適切なガスの混合物、ガスの速度
および割合の選択工程を包含する。好ましい実施態様に
おいて、ヘリウム、窒素、または他の適切な媒体が使用
されて、所望されるようにESC716を介してワーク
ピースの表面を冷却し得る。一旦、適切なパラメーター
が確立されると、誘電体層は適切にエッチングされる
(工程804)。好ましい実施態様において、誘電体層
は、適切には、無機フィルムであり、例えば、フッ素ベ
ース化学物質(例えば、CHF3、C26およびCF4
が使用され得る場合、シリコンオキシニトリドを含む。
代替の実施態様に従って、金属マスク層(例えば、トリ
ニトリド(tinitride))は上記の無機硬質マ
スク層の代わりに使用され得る。無機誘電体が使用され
る場合、適切なエッチング化学物質は上記のフッ素ベー
ス化学物質を、塩素ベース化学物質(例えば、BCl3
(三塩化ホウ素)およびCl2)との組み合わせで含
む。
【0076】図6bに示されるように、無機層606
が、実質的にかまたは完全にエッチングされる(または
上記のオフセット実施態様において議論される有機誘電
体層)場合、このプラズマは一時的に終結されてガス混
合物の再構築を受け入れ得る(工程806);あるい
は、このプラズマはインタクトなままであり得、そして
ガス組成における徐々の変化は金属エッチング工程を開
始するために影響を受け得る。
【0077】金属エッチング工程(工程808)は、適
切に塩素ベース化学物質(例えば、BCl3、Cl2また
は他の適切な金属エッチング化学物質)を使用する;工
程804と組みあわせて、上記の誘電体エッチング工程
を用いる場合のように、この金属エッチングプロセスは
また、ガス供給導管720からプラズマチャンバ722
へと供給されるN2(窒素)またはAr(アルゴン)ガ
ス混合物の存在下で、望ましいように行われる。
【0078】この金属が所望されるレベルまで実質的に
かまたは完全にエッチングされる場合、このプラズマは
消光され得る(工程810)。あるいは、このプラズマ
は活性化されたままであり得、そしてプラズマチャンバ
へと供給されたガスは、例えば、残留物を取り除いて相
互接続の表面をオーバーエッチングすることが所望され
る場合に再処方され得る。
【0079】オーバーエッチング(工程812)が所望
される場合、塩素ベース化学物質(例えば、BCl3
Cl2など)がアルゴン(Ar)と共に、窒素ガスに加
えてかまたは窒素ガスの代わりのいずれかで使用され得
る。さらに、オーバーエッチングのような好ましい実施
態様において、より低い無線周波数(RF)出力で行わ
れ得、ワークピース718の表面でより低いエネルギー
プラズマを生産すると算出される。
【0080】一旦、金属エッチングプロセスが完了する
と(所望されるように、オーバーエッチングを含み得る
かまたは含み得ない)、ワークピース718は取り除か
れ得(工程814)、この際に別のワークピースがチャ
ック716上に配置され得る(工程816)。以前のワ
ークピースについての以前のエッチングレシピと組み合
わせて使用されるプロセスパラメーターとは異なる場
合、次いで、新規のワークピースについてのプロセスパ
ラメーターが設定される(工程818)か;あるいは、
このプロセスはワークピース製造の次のサイクルのため
の工程804へと戻される。
【0081】選択性はプラズマエッチングプロセスの主
要な考察である。選択性は、エッチングガス処方を選択
する工程、エッチングプロセスの末端近傍のガスを希釈
して基底層の攻撃を低下する工程、およびシステム内に
終点検出器を配置する工程によって制御され得る。終点
検出器は、エッチングチャンバを出るガス流中の所定の
化合物または元素を検出した時点で、自動的にエッチン
グプロセスを終結する。
【0082】プラズマシステムのエッチング速度は、ワ
ークピース支持体716、ガスエッチャント化学物質、
およびエッチングチャンバ702内に含まれる真空レベ
ルに結合された電極へと供給される出力によって決定さ
れる。本発明の好ましい実施態様に従って、フッ素およ
び塩素ベースガスが、無機誘電体ARCフィルムをエッ
チングするために使用される。フォトレジストと無機誘
電体ARCとの間のエッチング選択性は、フッ素ベース
化学物質(例えば、CHF3、SF6または他のCHxy
化合物)をブレークスループロセスとして塩素ガスへと
添加することによって、改善される。次いで、この酸化
物と金属との間の高いエッチング選択性は塩素ベースプ
ラズマのみを用いて利用される。本発明のこの好ましい
実施態様を証明する特定のレシピを含む実施例は以下の
ようなものである: 工程1−以下のレシピを使用して、塩素およびフッ素ベ
ース化学物質を混合して無機誘電体ARCをエッチング
する:50sccmCl2、17sccmCHF3、12
mtorr、1300wattのRFプラズマ出力およ
び70wattのバイアス出力。ガスの割合および時間
は、アンダーカットを伴うことなく、滑らかかつ真っ直
ぐな側壁プロフィールを生じるように最適化される。こ
の工程は、TiN/TiとAlCu基板との間の界面で
停止される。
【0083】工程2−以下のレシピを使用して、塩素ベ
ース化学物質(例えば、BCl3およびCl2)は、N2
ガスと共に使用されて金属をエッチングする:50sc
cmCl2、40sccmBCl3、13sccmN2
12mtorr、900wattのRF出力および15
0wattのバイアス出力。これは、オープンフィール
ドにおけるAlCu基板および酸化物の間の界面を感知
する終点プロセスである。
【0084】工程3−以下のレシピを使用して残渣を除
去するために、塩素ベース化学物質がオーバーエッチン
グするために使用される:40sccmCl2、30s
ccmBCl3、20sccmAr、10mtorr、
900wattのRF出力および150wattのバイ
アス出力(20秒間)。
【0085】上記のエッチング工程の全ては、同一のエ
ッチングチャンバ702の中で行われる。図7および8
を参照して上に記載される平面プラズマエッチングシス
テムのシングルウェハチャンバ配置は、それぞれ、均一
なエッチングのためのエッチングパラメーターを厳重に
制御する能力を有する。さらに、シングルウェハシステ
ムを用いてのロードロックチャンバの利用は、インライ
ン自動化に修正可能な配置を生じ、それによって維持さ
れ得る高い生産率をもたらす。
【0086】前記の説明は、本発明の好ましい例示の実
施態様であり、そして本発明は本明細書中に示されるま
たは記載される特定の形態または構造体に制限されない
ことが理解されるべきである。種々の改変が、設計、配
置、および本明細書中に開示される要素および構造体の
型において成され得、そして添付の特許請求の範囲に示
される本発明の範囲から逸脱することなく本発明を生産
および使用することが成され得る。
【0087】
【発明の効果】以上のように、本発明によれば、超小型
構造体の保全性を危うくすることなく、より短い露光波
長およびより薄いフォトレジスト層を適用させる複合構
造体および製造プロセスを提供し、さらに、その効率を
上げながら半導体製造プロセスのコストおよび複雑さを
減らすように機能する複合構造体および製造プロセスを
提供できる。
【図面の簡単な説明】
【図1a】フォトリソグラフの露光および現像のプロセ
スを示す概略的図である。
【図1b】先行技術を用いる例示の印刷されたフォトレ
ジストパターンを示す概略的図である。
【図1c】薄いフォトレジスト層の結果として妥協した
構造的保全性を示し、パターン形成および転写の後の金
属製マイクロエレクトロニクス構造体を示す概略的例示
である。
【図2a】フォトレジスト構造体の側壁の断面上で反射
された入射光概略的例示である。
【図2b】図2aにおいて示されたスプリアス反射光に
より破壊されたフォトレジスト構造体の垂直方向壁を示
す、先行技術のフォトレジスト構造体の概略的例示であ
る。
【図3a】金属層上の有機反射防止コーティングを用い
る、先行技術の露光および現像の典型例の概略的例示で
ある。
【図3b】金属製マイクロエレクトロニクス構造体の構
造的保全性が保持するために、有機反射防止コーティン
グを用いて製造された、先行技術のフォトレジストパタ
ーン転写技術の概略的例示である。
【図4】本発明の無機誘電体境界層の概略的例示であ
る。
【図5】本発明の誘電性境界層の適合された応用の概略
的例示である。
【図6a】無機誘電体層を用いる、本発明の印刷された
フォトレジストパターンの概略的例示である。
【図6b】エッチングの結果として図6aの無機頂部A
RC層が除去されたことを示す、フォトレジストパター
ンの概略的例示である。
【図6c】本発明の金属エッチングプロセスに引き続い
て得られるマイクロエレクトロニクス構造体の概略的例
示である。
【図7】本発明の例示的なプラズマエッチングチャンバ
の概略的ブロック図である。
【図8】本発明の文脈で用いられる種々のプロセス工程
を記載した、フローチャートである。
【符号の説明】
102 相互接続基板 104 フォトレジスト層 106 金属層 108 マスク 110 入射光線 112、114、116、202、204、206、6
10、612 フォトレジスト構造体 118、120、122 金属構造体 212、216 反射光 302、402 スタック状の金属層 310、410 フォトレジスト層 312、606 反射防止コーティング層 314、404、504 金属層 304、306、308 側壁 320、322、324、506、508、510 マ
イクロエレクトロニクス構造体 406 無機誘電体層 608 底部バリア層
フロントページの続き (71)出願人 500055359 4311 Jamboree Road, N ewport Beach, Calif ornia 92660−3095 United States of America (72)発明者 シャオ−ウェン ヒシャ アメリカ合衆国 カリフォルニア 92692, ミッション ビジョ, ミラモント ド ライブ 25841 (72)発明者 マイケル ジェイ. バーグ アメリカ合衆国 バージニア 23111, メカニクスビル, レイク ヘブン ドラ イブ 8002 (72)発明者 モーリン アール. ブロンゴ アメリカ合衆国 カリフォルニア 92653, ラグナ ヒルズ, ロスト トレイル ドライブ 27381

Claims (22)

    【特許請求の範囲】
  1. 【請求項1】 金属層、該金属層上のARC層、および
    該金属層の反対側の該ARC層上に配置されたフォトレ
    ジストパターンを含むタイプの半導体ワークピースの作
    製に使用するためのエッチングツールであって、該ツー
    ルは以下:エッチング中に該半導体デバイスを保持する
    ための取り付け具;該半導体デバイスが該取り付け具に
    ある状態で、該フォトレジストパターンに近接する該ワ
    ークピースの表面にエッチング化学物質を供給するよう
    に構成された導管;該ARC層をエッチングするための
    第1のエッチング化学物質を含む第1のソースおよび該
    金属層をエッチングするための第2のエッチング化学物
    質を含む第2のソースであって、該第1のソースおよび
    第2のソースは該導管と連絡状態に構成されている;お
    よび該導管に対する、該第1のエッチング化学物質およ
    び第2のエッチング化学物質の流れを制御するためのコ
    ントローラ、を包含する、エッチングツール。
  2. 【請求項2】 請求項1に記載のツールであって、ここ
    で:前記ARC層は無機層である:前記第1のエッチン
    グ化学物質がフッ素ベースの化学物質である;および前
    記第2のエッチング化学物質が塩素ベースの化学物質で
    ある、ツール。
  3. 【請求項3】 少なくとも1つの前記第1のソースおよ
    び第2のソースがエッチングガスを含む、請求項1に記
    載のツール。
  4. 【請求項4】 少なくとも1つの前記第1のソースおよ
    び第2のソースがエッチング液体を含む、請求項1に記
    載のツール。
  5. 【請求項5】 前記フォトレジストパターンに近接して
    プラズマを発生するためのRF源をさらに含み、それに
    よってプラズマが前記ワークピース表面をエッチングす
    る、請求項1に記載のツール。
  6. 【請求項6】 請求項5に記載のツールであって:プラ
    ズマエッチングチャンバ;および該チャンバ内の圧力を
    制御するための真空ポンプ;をさらに含み、 ここで前記コントローラは、さらに前記第1のエッチン
    グ化学物質を前記導管に送達するように、そして前記A
    RC層のエッチング中に前記ワークピース表面において
    該第1のエッチング化学物質を含むプラズマを発生する
    ように構成されている;そしてここで該コントローラ
    は、さらに前記第2のエッチング化学物質を該導管に送
    達するように、そして前記金属表面のエッチング中に該
    ワークピース表面において該第2のエッチング化学物質
    を含むプラズマを発生するように構成されている、ツー
    ル。
  7. 【請求項7】 請求項1に記載のツールであって:前記
    コントローラは、前記ワークピースが前記取り付け具に
    維持されたままで、前記ARC層のエッチング中に前記
    第1のエッチング化学物質を該ワークピースの表面に送
    達するように、その後前記金属層のエッチング中に前記
    第2のエッチング化学物質を該ワークピース表面に送達
    するように構成されている、ツール。
  8. 【請求項8】 請求項6に記載のツールであって、ここ
    で:前記コントローラは前記ワークピースの前記取り付
    け具への配置および該取り付け具からの回収を制御する
    ように構成されている;そして該コントローラはさら
    に、該ワークピースが該取り付け具に維持されたまま、
    前記第1のエッチング化学物質を該ワークピース表面に
    供給するように、その後前記第2のエッチング化学物質
    を該ワークピース表面に供給するように構成されてい
    る、ツール。
  9. 【請求項9】 金属層、該金属層上のARC層、および
    該金属層の反対側の該ARC層上に配置されたフォトレ
    ジストパターンを含むタイプの半導体デバイスの作製す
    る方法であって、該方法は以下の工程:プラズマエッチ
    ングチャンバの取り付け具に該デバイスを配置する工
    程;第1のプラズマエッチング化学物質を該フォトレジ
    ストパターンに近接する該デバイスの表面に供給する工
    程;該デバイスが該取り付け具にある状態で、該ARC
    層および該フォトレジストパターンを該第1のプラズマ
    エッチング化学物質を用いて第1のエッチング工程でエ
    ッチングする工程;第2のプラズマエッチング化学物質
    を前記ワークピース表面に供給する工程;および該デバ
    イスが該取り付け具に維持した状態で、該金属層を第2
    のプラズマエッチング化学物質を用いて第1のエッチン
    グ工程で、続いて該第2のエッチング工程でエッチング
    する工程、を包含する、方法。
  10. 【請求項10】 前記第1のエッチング工程および第2
    のエッチング工程中およびそれらの間に前記取り付け具
    に固定された前記ワークピースを維持する工程をさらに
    包含する、請求項9に記載の方法。
  11. 【請求項11】 請求項10に記載の方法であって、こ
    こで:前記第1のプラズマエッチング化学物質を供給す
    る前記工程がフッ素ベースエッチング化学物質を供給す
    る工程を包含する;および前記第2のプラズマエッチン
    グ化学物質を供給する前記工程が塩素ベースエッチング
    化学物質を供給する工程を包含する、方法。
  12. 【請求項12】 請求項10に記載の方法であって、こ
    こで:前記ARC層は無機誘電体を含む;前記第1のエ
    ッチング工程はフッ素ベースエッチング化学物質を有す
    る該無機誘電体層をエッチングする工程を包含する;お
    よび前記第2のエッチング工程は塩素ベースエッチング
    化学物質を有する前記金属層をエッチングする工程を包
    含する、方法。
  13. 【請求項13】 請求項10に記載の方法であって、さ
    らに以下の工程:前記第1のエッチング工程の最後にプ
    ラズマを終わらせる工程;および前記第2のエッチング
    工程のはじめにプラズマを再び活性化する工程、を包含
    する、方法。
  14. 【請求項14】 活性化したプラズマを前記第1のエッ
    チング工程および第2のエッチング工程中およびそれら
    の間に維持する工程をさらに包含する、請求項10に記
    載の方法。
  15. 【請求項15】 請求項9に記載の方法であって、さら
    に以下の工程:前記第2のエッチング工程に続いてプラ
    ズマエネルギーを低下する工程;およびその後前記デバ
    イスをオーバーエッチングする工程、を包含する、方
    法。
  16. 【請求項16】 請求項10に記載の方法により製造さ
    れる製品。
  17. 【請求項17】 請求項12に記載の方法により製造さ
    れる製品。
  18. 【請求項18】 半導体相互接続デバイスを作製する方
    法であって、以下の工程:無機ARCフィルム層を金属
    基板に適用する工程;前記フィルム層上にフォトレジス
    トパターンをプリントして該フォトレジストパターンと
    該金属基板との間に挟まれた該フィルム層を含むスタッ
    クを形成する工程;プラズマエッチングチャンバの取り
    付け具に該スタックを配置する工程;該フォトレジスト
    パターン付近の該スタックの表面にフッ素ベースプラズ
    マを適用する工程;該フッ素ベースプラズマを用いて該
    フォトレジストパターンおよび該無機フィルム層をエッ
    チングする工程;該スタックを該取り付け具から除去す
    ることなく該フォトレジストパターンおよび該無機フィ
    ルムをエッチングする工程に続いて、該スタックに塩素
    ベースプラズマを適用する工程;および該塩素ベースプ
    ラズマを用いて該金属基板をエッチングする工程、を包
    含する、方法。
  19. 【請求項19】 前記無機ARC層を適用する前記工程
    が化学蒸着法(CVD)を包含する、請求項18に記載
    の方法。
  20. 【請求項20】 前記CVD工程がプラズマ増強CVD
    (PECVD)を使用する工程を包含する、請求項19
    に記載の方法。
  21. 【請求項21】 前記無機ARC層を適用する前記工程
    が該ARC層としてシリコンオキシニトリドを適用する
    工程を包含する、請求項18に記載の方法。
  22. 【請求項22】 請求項21に記載の方法から製造され
    る製品。
JP2000085315A 1999-03-24 2000-03-24 無機および金属フィルムの高分解能インサイチュプラズマエッチングのための方法および装置 Withdrawn JP2000277500A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/275.628 1999-03-24
US09/275,628 US6291361B1 (en) 1999-03-24 1999-03-24 Method and apparatus for high-resolution in-situ plasma etching of inorganic and metal films

Publications (1)

Publication Number Publication Date
JP2000277500A true JP2000277500A (ja) 2000-10-06

Family

ID=23053175

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000085315A Withdrawn JP2000277500A (ja) 1999-03-24 2000-03-24 無機および金属フィルムの高分解能インサイチュプラズマエッチングのための方法および装置

Country Status (4)

Country Link
US (3) US6291361B1 (ja)
JP (1) JP2000277500A (ja)
SG (1) SG85701A1 (ja)
TW (1) TW477007B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100395775B1 (ko) * 2001-06-28 2003-08-21 동부전자 주식회사 반도체 소자의 금속 배선 형성 방법
KR100606532B1 (ko) * 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6387820B1 (en) * 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP3733021B2 (ja) * 2000-12-15 2006-01-11 シャープ株式会社 プラズマプロセス方法
US6905971B1 (en) * 2001-12-28 2005-06-14 Advanced Micro Devices, Inc. Treatment of dielectric material to enhance etch rate
US6451647B1 (en) 2002-03-18 2002-09-17 Advanced Micro Devices, Inc. Integrated plasma etch of gate and gate dielectric and low power plasma post gate etch removal of high-K residual
US7265431B2 (en) * 2002-05-17 2007-09-04 Intel Corporation Imageable bottom anti-reflective coating for high resolution lithography
KR100464430B1 (ko) * 2002-08-20 2005-01-03 삼성전자주식회사 하드 마스크를 이용한 알루미늄막 식각 방법 및 반도체소자의 배선 형성 방법
US7298836B2 (en) * 2002-09-24 2007-11-20 At&T Bls Intellectual Property, Inc. Network-based healthcare information systems
KR100484900B1 (ko) * 2002-12-30 2005-04-22 동부아남반도체 주식회사 반도체 제조 장치에서의 플라즈마 점화 방법
US7573999B2 (en) * 2002-12-31 2009-08-11 At&T Intellectual Property I, L.P. Computer telephony integration (CTI) complete healthcare contact center
US7620170B2 (en) * 2002-12-31 2009-11-17 At&T Intellectual Property I, L.P. Computer telephony integration (CTI) complete customer contact center
US20040192059A1 (en) * 2003-03-28 2004-09-30 Mosel Vitelic, Inc. Method for etching a titanium-containing layer prior to etching an aluminum layer in a metal stack
TWI316273B (en) * 2003-07-24 2009-10-21 Nanya Technology Corp Method of reworking integrated circuit device
JP2005123292A (ja) * 2003-10-15 2005-05-12 Canon Inc 収納装置、当該収納装置を用いた露光方法
US7365014B2 (en) * 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US7279429B1 (en) * 2004-10-01 2007-10-09 Advanced Micro Devices, Inc. Method to improve ignition in plasma etching or plasma deposition steps
KR100831572B1 (ko) * 2005-12-29 2008-05-21 동부일렉트로닉스 주식회사 반도체 소자의 배선 형성방법
JP2008270522A (ja) * 2007-04-20 2008-11-06 Oki Electric Ind Co Ltd 半導体装置の製造方法
US20100326954A1 (en) * 2009-06-26 2010-12-30 Zhen Yu Zhuo Method of etching a multi-layer
KR101083640B1 (ko) * 2009-07-31 2011-11-16 주식회사 하이닉스반도체 반도체 장치의 퓨즈부 및 그 제조방법
US8211801B2 (en) * 2010-09-02 2012-07-03 United Microelectronics Corp. Method of fabricating complementary metal-oxide-semiconductor (CMOS) device
US9831071B2 (en) 2013-05-09 2017-11-28 Lam Research Corporation Systems and methods for using multiple inductive and capacitive fixtures for applying a variety of plasma conditions to determine a match network model

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR940005287B1 (ko) 1990-06-26 1994-06-15 후지쓰 가부시끼가이샤 수소가스를 사용하는 플라즈마 처리방법
JP2888258B2 (ja) * 1990-11-30 1999-05-10 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP2896268B2 (ja) * 1992-05-22 1999-05-31 三菱電機株式会社 半導体基板の表面処理装置及びその制御方法
KR100276093B1 (ko) * 1992-10-19 2000-12-15 히가시 데쓰로 플라스마 에칭방법
US5702981A (en) * 1995-09-29 1997-12-30 Maniar; Papu D. Method for forming a via in a semiconductor device
US5759916A (en) * 1996-06-24 1998-06-02 Taiwan Semiconductor Manufacturing Company Ltd Method for forming a void-free titanium nitride anti-reflective coating(ARC) layer upon an aluminum containing conductor layer
US5911887A (en) * 1996-07-19 1999-06-15 Cypress Semiconductor Corporation Method of etching a bond pad
US5858870A (en) * 1996-12-16 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Methods for gap fill and planarization of intermetal dielectrics
US5883007A (en) * 1996-12-20 1999-03-16 Lam Research Corporation Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
US5846884A (en) * 1997-06-20 1998-12-08 Siemens Aktiengesellschaft Methods for metal etching with reduced sidewall build up during integrated circuit manufacturing
US6133618A (en) * 1997-08-14 2000-10-17 Lucent Technologies Inc. Semiconductor device having an anti-reflective layer and a method of manufacture thereof
US6121133A (en) * 1997-08-22 2000-09-19 Micron Technology, Inc. Isolation using an antireflective coating
US6541164B1 (en) * 1997-10-22 2003-04-01 Applied Materials, Inc. Method for etching an anti-reflective coating
US6013582A (en) * 1997-12-08 2000-01-11 Applied Materials, Inc. Method for etching silicon oxynitride and inorganic antireflection coatings
US6117619A (en) * 1998-01-05 2000-09-12 Micron Technology, Inc. Low temperature anti-reflective coating for IC lithography
US6095159A (en) * 1998-01-22 2000-08-01 Micron Technology, Inc. Method of modifying an RF circuit of a plasma chamber to increase chamber life and process capabilities
US5981401A (en) * 1998-03-13 1999-11-09 Micron Technology, Inc. Method for selective etching of anitreflective coatings
US6183940B1 (en) * 1998-03-17 2001-02-06 Integrated Device Technology, Inc. Method of retaining the integrity of a photoresist pattern
US5968711A (en) * 1998-04-28 1999-10-19 Vanguard International Semiconductor Corporation Method of dry etching A1Cu using SiN hard mask
US6121156A (en) * 1998-04-28 2000-09-19 Cypress Semiconductor Corporation Contact monitor, method of forming same and method of analyzing contact-, via-and/or trench-forming processes in an integrated circuit
US5982035A (en) * 1998-06-15 1999-11-09 Advanced Micro Devices, Inc. High integrity borderless vias with protective sidewall spacer
US6030541A (en) * 1998-06-19 2000-02-29 International Business Machines Corporation Process for defining a pattern using an anti-reflective coating and structure therefor
US6013570A (en) * 1998-07-17 2000-01-11 Advanced Micro Devices, Inc. LDD transistor using novel gate trim technique
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) * 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6177353B1 (en) * 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
US6277745B1 (en) * 1998-12-28 2001-08-21 Taiwan Semiconductor Manufacturing Company Passivation method of post copper dry etching
US6166427A (en) * 1999-01-15 2000-12-26 Advanced Micro Devices, Inc. Integration of low-K SiOF as inter-layer dielectric for AL-gapfill application
US6169029B1 (en) * 1999-05-03 2001-01-02 Winband Electronics Corp. Method of solving metal stringer problem which is induced by the product of tin and organic ARC reaction

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100395775B1 (ko) * 2001-06-28 2003-08-21 동부전자 주식회사 반도체 소자의 금속 배선 형성 방법
KR100606532B1 (ko) * 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
US6328848B1 (en) 2001-12-11
US6291361B1 (en) 2001-09-18
US20020016071A1 (en) 2002-02-07
SG85701A1 (en) 2002-01-15
US6798065B2 (en) 2004-09-28
TW477007B (en) 2002-02-21

Similar Documents

Publication Publication Date Title
JP2000277500A (ja) 無機および金属フィルムの高分解能インサイチュプラズマエッチングのための方法および装置
US10186428B2 (en) Removal methods for high aspect ratio structures
US6984585B2 (en) Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6964928B2 (en) Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
TWI757334B (zh) 準原子層蝕刻方法
US6759263B2 (en) Method of patterning a layer of magnetic material
US6037266A (en) Method for patterning a polysilicon gate with a thin gate oxide in a polysilicon etcher
US6893893B2 (en) Method of preventing short circuits in magnetic film stacks
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
US7320942B2 (en) Method for removal of metallic residue after plasma etching of a metal layer
US7105361B2 (en) Method of etching a magnetic material
KR100523365B1 (ko) 플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
WO2015026506A1 (en) Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
KR19990082633A (ko) 반도체 웨이퍼를 에칭하기 위한 방법과 장치
JP2004519838A (ja) 窒化チタンをエッチングする方法
US20030219984A1 (en) Method of etching a magnetic material
Tuda et al. Mechanisms for microscopic nonuniformity in low-pressure, high-density plasma etching of poly-Si in Cl2 and Cl2/O2 mixtures
EP1011135A2 (en) Semiconductor interconnect structure employing a pecvd inorganic dielectric layer and process for making same
US6737352B2 (en) Method of preventing particle generation in plasma cleaning
TW202213459A (zh) 以氧脈衝蝕刻結構的方法
WO2023137275A1 (en) High selectivity and uniform dielectric etch
Lehmann Applications of plasma etching

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070605